summaryrefslogtreecommitdiff
path: root/sal/rtl/source/logfile.cxx
diff options
context:
space:
mode:
Diffstat (limited to 'sal/rtl/source/logfile.cxx')
-rw-r--r--sal/rtl/source/logfile.cxx4
1 files changed, 0 insertions, 4 deletions
diff --git a/sal/rtl/source/logfile.cxx b/sal/rtl/source/logfile.cxx
index 0f9e143c2..3c66ed9d3 100644
--- a/sal/rtl/source/logfile.cxx
+++ b/sal/rtl/source/logfile.cxx
@@ -34,16 +34,12 @@
#include <rtl/logfile.h>
#include <osl/process.h>
-#ifndef _OSL_FILE_H_
#include <osl/time.h>
-#endif
#include <osl/time.h>
#include <osl/mutex.hxx>
#include <rtl/bootstrap.h>
#include <rtl/ustring.hxx>
-#ifndef _RTL_STRBUF_HXX_
#include <rtl/ustrbuf.hxx>
-#endif
#include <rtl/alloc.h>
#include "osl/thread.h"