summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorJerome Glisse <jglisse@redhat.com>2010-06-08 15:54:13 +0200
committerJerome Glisse <jglisse@redhat.com>2010-06-08 15:55:50 +0200
commitac123b7ed1f7331e76f9a152aa564428fc20ea4a (patch)
treeae331856860c785c6c57162123aaf2b9c40fa507
parentdcad701ea7f7d3af9da4fd1b83b0c98d43ca6f26 (diff)
radeondb: add r600 support to register dump tools
Signed-off-by: Jerome Glisse <jglisse@redhat.com>
-rw-r--r--json/r600.json42247
-rw-r--r--src/Makefile.am5
-rw-r--r--src/r100_reg.c2
-rw-r--r--src/radeon_reg.c37
-rw-r--r--src/radeon_reg.h12
-rw-r--r--tools/rdb.c2
6 files changed, 42302 insertions, 3 deletions
diff --git a/json/r600.json b/json/r600.json
new file mode 100644
index 0000000..d2da672
--- /dev/null
+++ b/json/r600.json
@@ -0,0 +1,42247 @@
+{
+ "block": [
+ {
+ "0x00000000": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "SEQ_RST0B",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "SEQ_RST1B",
+ "value": []
+ }
+ ],
+ "name": "SEQ00",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x00000001": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "SEQ_DOT8",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "SEQ_SHIFT2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "SEQ_PCLKBY2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "SEQ_SHIFT4",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "SEQ_MAXBW",
+ "value": []
+ }
+ ],
+ "name": "SEQ01",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x00000002": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "SEQ_MAP0_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "SEQ_MAP1_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "SEQ_MAP2_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "SEQ_MAP3_EN",
+ "value": []
+ }
+ ],
+ "name": "SEQ02",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x00000003": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "SEQ_FONT_B1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "SEQ_FONT_B2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "SEQ_FONT_A1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "SEQ_FONT_A2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "SEQ_FONT_B0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "SEQ_FONT_A0",
+ "value": []
+ }
+ ],
+ "name": "SEQ03",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x00000004": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "SEQ_256K",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "SEQ_ODDEVEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "SEQ_CHAIN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 5,
+ "description": "",
+ "name": "VCRTC_IDX",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "VCRTC_DATA",
+ "value": []
+ }
+ ],
+ "name": "SEQ04",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x00000005": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 4,
+ "description": "",
+ "name": "H_SYNC_END",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 6,
+ "description": "",
+ "name": "H_SYNC_SKEW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "H_BLANK_END_B5",
+ "value": []
+ }
+ ],
+ "name": "CRT05",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x00000006": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "V_TOTAL",
+ "value": []
+ }
+ ],
+ "name": "CRT06",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x00000007": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "V_TOTAL_B8",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "V_DISP_END_B8",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "V_SYNC_START_B8",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "V_BLANK_START_B8",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "LINE_CMP_B8",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "V_TOTAL_B9",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "V_DISP_END_B9",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "V_SYNC_START_B9",
+ "value": []
+ }
+ ],
+ "name": "CRT07",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x00000008": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 4,
+ "description": "",
+ "name": "ROW_SCAN_START",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 6,
+ "description": "",
+ "name": "BYTE_PAN",
+ "value": []
+ }
+ ],
+ "name": "CRT08",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x00000009": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 4,
+ "description": "",
+ "name": "MAX_ROW_SCAN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "V_BLANK_START_B9",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "LINE_CMP_B9",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "DOUBLE_CHAR_HEIGHT",
+ "value": []
+ }
+ ],
+ "name": "CRT09",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x0000000A": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 4,
+ "description": "",
+ "name": "CURSOR_START",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "CURSOR_DISABLE",
+ "value": []
+ }
+ ],
+ "name": "CRT0A",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x0000000B": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 4,
+ "description": "",
+ "name": "CURSOR_END",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 6,
+ "description": "",
+ "name": "CURSOR_SKEW",
+ "value": []
+ }
+ ],
+ "name": "CRT0B",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x0000000C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "DISP_START",
+ "value": []
+ }
+ ],
+ "name": "CRT0C",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x0000000D": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "DISP_START",
+ "value": []
+ }
+ ],
+ "name": "CRT0D",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x0000000E": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "CURSOR_LOC_HI",
+ "value": []
+ }
+ ],
+ "name": "CRT0E",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x0000000F": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "CURSOR_LOC_LO",
+ "value": []
+ }
+ ],
+ "name": "CRT0F",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x00000010": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "V_SYNC_START",
+ "value": []
+ }
+ ],
+ "name": "CRT10",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x00000011": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "V_SYNC_END",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "V_INTR_CLR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "V_INTR_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "SEL5_REFRESH_CYC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "C0T7_WR_ONLY",
+ "value": []
+ }
+ ],
+ "name": "CRT11",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x00000012": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "V_DISP_END",
+ "value": []
+ }
+ ],
+ "name": "CRT12",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x00000013": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "DISP_PITCH",
+ "value": []
+ }
+ ],
+ "name": "CRT13",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x00000014": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 4,
+ "description": "",
+ "name": "UNDRLN_LOC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "ADDR_CNT_BY4",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "DOUBLE_WORD",
+ "value": []
+ }
+ ],
+ "name": "CRT14",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x00000015": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "V_BLANK_START",
+ "value": []
+ }
+ ],
+ "name": "CRT15",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x00000016": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "V_BLANK_END",
+ "value": []
+ }
+ ],
+ "name": "CRT16",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x00000017": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "RA0_AS_A13B",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "RA1_AS_A14B",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "VCOUNT_BY2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "ADDR_CNT_BY2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "WRAP_A15TOA0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "BYTE_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "CRTC_SYNC_EN",
+ "value": []
+ }
+ ],
+ "name": "CRT17",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x00000018": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "LINE_CMP",
+ "value": []
+ }
+ ],
+ "name": "CRT18",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x0000001E": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "GRPH_DEC_RD1",
+ "value": []
+ }
+ ],
+ "name": "CRT1E",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x0000001F": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "GRPH_DEC_RD0",
+ "value": []
+ }
+ ],
+ "name": "CRT1F",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x00000022": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "GRPH_LATCH_DATA",
+ "value": []
+ }
+ ],
+ "name": "CRT22",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x00000048": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 9,
+ "description": "",
+ "name": "VGA_MEM_WRITE_PAGE0_ADDR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 25,
+ "description": "",
+ "name": "VGA_MEM_WRITE_PAGE1_ADDR",
+ "value": []
+ }
+ ],
+ "name": "VGA_MEM_WRITE_PAGE_ADDR",
+ "size": 32,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x0000004C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 9,
+ "description": "",
+ "name": "VGA_MEM_READ_PAGE0_ADDR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 25,
+ "description": "",
+ "name": "VGA_MEM_READ_PAGE1_ADDR",
+ "value": []
+ }
+ ],
+ "name": "VGA_MEM_READ_PAGE_ADDR",
+ "size": 32,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x00000300": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 4,
+ "description": "",
+ "name": "VGA_BLINK_RATE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 6,
+ "description": "",
+ "name": "VGA_BLINK_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "VGA_CURSOR_BLINK_INVERT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "VGA_EXTD_ADDR_COUNT_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "VGA_VSTATUS_CNTL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "VGA_LOCK_8DOT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "VGAREG_LINECMP_COMPATIBILITY_S",
+ "value": []
+ }
+ ],
+ "name": "VGA_RENDER_CONTROL",
+ "size": 32,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x00000304": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D1_BLANK_DISPLAY_WHEN_SEQUEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "D2_BLANK_DISPLAY_WHEN_SEQUEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "D1_DISABLE_SYNCS_AND_DE_WHEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "D2_DISABLE_SYNCS_AND_DE_WHEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "VGA_MODE_AUTO_TRIGGER_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "VGA_MODE_AUTO_TRIGGER_REGIST",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 23,
+ "description": "",
+ "name": "VGA_MODE_AUTO_TRIGGER_INDEX_",
+ "value": []
+ }
+ ],
+ "name": "VGA_SEQUENCER_RESET_CONTROL",
+ "size": 32,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x00000308": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "VGA_ATI_LINEAR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 5,
+ "description": "",
+ "name": "VGA_LUT_PALETTE_UPDATE_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "VGA_128K_APERTURE_PAGING",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "VGA_TEXT_132_COLUMNS_EN",
+ "value": []
+ }
+ ],
+ "name": "VGA_MODE_CONTROL",
+ "size": 32,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x0000030C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "VGA_SURFACE_PITCH_SELECT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 9,
+ "description": "",
+ "name": "VGA_SURFACE_HEIGHT_SELECT",
+ "value": []
+ }
+ ],
+ "name": "VGA_SURFACE_PITCH_SELECT",
+ "size": 32,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x00000310": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "VGA_MEMORY_BASE_ADDRESS",
+ "value": []
+ }
+ ],
+ "name": "VGA_MEMORY_BASE_ADDRESS",
+ "size": 32,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x00000318": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 24,
+ "description": "",
+ "name": "VGA_DISPBUF1_SURFACE_ADDR",
+ "value": []
+ }
+ ],
+ "name": "VGA_DISPBUF1_SURFACE_ADDR",
+ "size": 32,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x00000320": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 24,
+ "description": "",
+ "name": "VGA_DISPBUF2_SURFACE_ADDR",
+ "value": []
+ }
+ ],
+ "name": "VGA_DISPBUF2_SURFACE_ADDR",
+ "size": 32,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x00000328": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "VGA_MEM_PAGE_SELECT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "VGA_MEMORY_DISABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "VGA_RBBM_LOCK_DISABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "VGA_SOFT_RESET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "VGA_TEST_RESET_CONTROL",
+ "value": []
+ }
+ ],
+ "name": "VGA_HDP_CONTROL",
+ "size": 32,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x0000032C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "VGA_WRITE_THROUGH_CACHE_DIS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "VGA_READ_CACHE_DISABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "VGA_READ_BUFFER_INVALIDATE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "VGA_DCCIF_W256ONLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 29,
+ "description": "",
+ "name": "VGA_DCCIF_WC_TIMEOUT",
+ "value": []
+ }
+ ],
+ "name": "VGA_CACHE_CONTROL",
+ "size": 32,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x00000330": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D1VGA_MODE_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "D1VGA_TIMING_SELECT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "D1VGA_SYNC_POLARITY_SELECT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 10,
+ "description": "",
+ "name": "D1VGA_OVERSCAN_TIMING_SELECT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D1VGA_OVERSCAN_COLOR_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 25,
+ "description": "",
+ "name": "D1VGA_ROTATE",
+ "value": []
+ }
+ ],
+ "name": "D1VGA_CONTROL",
+ "size": 32,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x00000338": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D2VGA_MODE_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "D2VGA_TIMING_SELECT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "D2VGA_SYNC_POLARITY_SELECT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 10,
+ "description": "",
+ "name": "D2VGA_OVERSCAN_TIMING_SELECT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D2VGA_OVERSCAN_COLOR_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 25,
+ "description": "",
+ "name": "D2VGA_ROTATE",
+ "value": []
+ }
+ ],
+ "name": "D2VGA_CONTROL",
+ "size": 32,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x0000033C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "VGA_HW_DEBUG",
+ "value": []
+ }
+ ],
+ "name": "VGA_HW_DEBUG",
+ "size": 32,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x00000340": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "VGA_MODE_AUTO_TRIGGER_STATUS",
+ "value": []
+ }
+ ],
+ "name": "VGA_STATUS",
+ "size": 32,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x00000344": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "VGA_MEM_ACCESS_INT_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "VGA_REG_ACCESS_INT_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "VGA_DISPLAY_SWITCH_INT_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "VGA_MODE_AUTO_TRIGGER_INT_MA",
+ "value": []
+ }
+ ],
+ "name": "VGA_INTERRUPT_CONTROL",
+ "size": 32,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x00000348": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "VGA_DISPLAY_SWITCH_INT_CLEAR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "VGA_MODE_AUTO_TRIGGER_INT_CLE",
+ "value": []
+ }
+ ],
+ "name": "VGA_STATUS_CLEAR",
+ "size": 32,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x0000034C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "VGA_DISPLAY_SWITCH_INT_STATUS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "VGA_MODE_AUTO_TRIGGER_INT_STA",
+ "value": []
+ }
+ ],
+ "name": "VGA_INTERRUPT_STATUS",
+ "size": 32,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x00000350": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "VGA_CRTC_TIMEOUT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 4,
+ "description": "",
+ "name": "VGA_RENDER_TIMEOUT_COUNT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 7,
+ "description": "",
+ "name": "VGA_VIRTUAL_VERTICAL_RETRACE_",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 9,
+ "description": "",
+ "name": "VGA_READBACK_VGA_VSTATUS_SOU",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "VGA_READBACK_NO_DISPLAY_SOUR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 25,
+ "description": "",
+ "name": "VGA_READBACK_CRT_INTR_SOURCE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 26,
+ "description": "",
+ "name": "VGA_READBACK_SENSE_SWITCH_SE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 27,
+ "bl": 27,
+ "description": "",
+ "name": "VGA_READ_URGENT_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "VGA_WRITES_URGENT_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "VGA_MAIN_TEST_VSTATUS_NO_DISP",
+ "value": []
+ }
+ ],
+ "name": "VGA_MAIN_CONTROL",
+ "size": 32,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x00000354": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "VGA_TEST_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "VGA_TEST_RENDER_START",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "VGA_TEST_RENDER_DISPBUF_SELEC",
+ "value": []
+ }
+ ],
+ "name": "VGA_TEST_CONTROL",
+ "size": 32,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x00000358": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "VGA_DEBUG_READBACK_INDEX",
+ "value": []
+ }
+ ],
+ "name": "VGA_DEBUG_READBACK_INDEX",
+ "size": 32,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x0000035C": {
+ "field": [],
+ "name": "VGA_DEBUG_READBACK_DATA",
+ "size": 32,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x000003C0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 4,
+ "description": "",
+ "name": "ATTR_IDX",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "ATTR_PAL_RW_ENB",
+ "value": []
+ }
+ ],
+ "name": "ATTRX",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x000003C1": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "ATTR_DATA",
+ "value": []
+ }
+ ],
+ "name": "ATTRDR",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x000003C2": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "GENMO_MONO_ADDRESS_B",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "VGA_RAM_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 3,
+ "description": "",
+ "name": "VGA_CKSEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "ODD_EVEN_MD_PGSEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "VGA_HSYNC_POL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "VGA_VSYNC_POL",
+ "value": []
+ }
+ ],
+ "name": "GENMO_WT",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x000003C3": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "BLK_IO_BASE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "VSYNC_SEL_W",
+ "value": []
+ }
+ ],
+ "name": "GENENB",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x000003C4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "SEQ_IDX",
+ "value": []
+ }
+ ],
+ "name": "SEQ8_IDX",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x000003C5": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "SEQ_DATA",
+ "value": []
+ }
+ ],
+ "name": "SEQ8_DATA",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x000003C6": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "DAC_MASK",
+ "value": []
+ }
+ ],
+ "name": "DAC_MASK",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x000003C7": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "DAC_R_INDEX",
+ "value": []
+ }
+ ],
+ "name": "DAC_R_INDEX",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x000003C8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "DAC_W_INDEX",
+ "value": []
+ }
+ ],
+ "name": "DAC_W_INDEX",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x000003C9": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 5,
+ "description": "",
+ "name": "DAC_DATA",
+ "value": []
+ }
+ ],
+ "name": "DAC_DATA",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x000003CA": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "VSYNC_SEL_R",
+ "value": []
+ }
+ ],
+ "name": "GENFC_RD",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x000003CC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "GENMO_MONO_ADDRESS_B",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "VGA_RAM_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 3,
+ "description": "",
+ "name": "VGA_CKSEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "ODD_EVEN_MD_PGSEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "VGA_HSYNC_POL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "VGA_VSYNC_POL",
+ "value": []
+ }
+ ],
+ "name": "GENMO_RD",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x000003CE": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "GRPH_IDX",
+ "value": []
+ }
+ ],
+ "name": "GRPH8_IDX",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "0x000003CF": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "GRPH_DATA",
+ "value": []
+ }
+ ],
+ "name": "GRPH8_DATA",
+ "size": 8,
+ "unit": [
+ "VGA"
+ ]
+ },
+ "name": "VGA"
+ },
+ {
+ "0x0000000E": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "RX_NUM_NACK",
+ "value": []
+ }
+ ],
+ "name": "PCIE_RX_NUM_NACK",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x0000000F": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "RX_NUM_NACK_GENERATED",
+ "value": []
+ }
+ ],
+ "name": "PCIE_RX_NUM_NACK_GENERATED",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x00000020": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "CI_BE_SPLIT_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "CI_SLAVE_SPLIT_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "CI_SLAVE_GEN_USR_DIS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "CI_MST_CMPL_DUMMY_DATA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "CI_MST_TAG_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 7,
+ "description": "",
+ "name": "CI_SLV_RC_RD_REQ_SIZE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "CI_SLV_ORDERING_DIS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "CI_RC_ORDERING_DIS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 10,
+ "description": "",
+ "name": "CI_SLV_CPL_ALLOC_DIS",
+ "value": []
+ }
+ ],
+ "name": "PCIE_CI_CNTL",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x00000022": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 5,
+ "description": "",
+ "name": "LC_PREV_STATE24",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 13,
+ "description": "",
+ "name": "LC_PREV_STATE25",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 21,
+ "description": "",
+ "name": "LC_PREV_STATE26",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 29,
+ "description": "",
+ "name": "LC_PREV_STATE27",
+ "value": []
+ }
+ ],
+ "name": "PCIE_LC_STATE6",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x00000023": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 5,
+ "description": "",
+ "name": "LC_PREV_STATE28",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 13,
+ "description": "",
+ "name": "LC_PREV_STATE29",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 21,
+ "description": "",
+ "name": "LC_PREV_STATE30",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 29,
+ "description": "",
+ "name": "LC_PREV_STATE31",
+ "value": []
+ }
+ ],
+ "name": "PCIE_LC_STATE7",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x00000024": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 5,
+ "description": "",
+ "name": "LC_PREV_STATE32",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 13,
+ "description": "",
+ "name": "LC_PREV_STATE33",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 21,
+ "description": "",
+ "name": "LC_PREV_STATE34",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 29,
+ "description": "",
+ "name": "LC_PREV_STATE35",
+ "value": []
+ }
+ ],
+ "name": "PCIE_LC_STATE8",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x00000025": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 5,
+ "description": "",
+ "name": "LC_PREV_STATE36",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 13,
+ "description": "",
+ "name": "LC_PREV_STATE37",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 21,
+ "description": "",
+ "name": "LC_PREV_STATE38",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 29,
+ "description": "",
+ "name": "LC_PREV_STATE39",
+ "value": []
+ }
+ ],
+ "name": "PCIE_LC_STATE9",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x00000026": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 5,
+ "description": "",
+ "name": "LC_PREV_STATE40",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 13,
+ "description": "",
+ "name": "LC_PREV_STATE41",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 21,
+ "description": "",
+ "name": "LC_PREV_STATE42",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 29,
+ "description": "",
+ "name": "LC_PREV_STATE43",
+ "value": []
+ }
+ ],
+ "name": "PCIE_LC_STATE10",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x00000027": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 5,
+ "description": "",
+ "name": "LC_PREV_STATE44",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 13,
+ "description": "",
+ "name": "LC_PREV_STATE45",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 21,
+ "description": "",
+ "name": "LC_PREV_STATE46",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 29,
+ "description": "",
+ "name": "LC_PREV_STATE47",
+ "value": []
+ }
+ ],
+ "name": "PCIE_LC_STATE11",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x00000040": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "P_PWRDN_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "P_SYMALIGN_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "P_PLL_PWRDN_IN_L1L23",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "P_PLL_BUF_PDNB",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "P_TXCLK_SND_PWRDN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "P_TXCLK_RCV_PWRDN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "PI_SYMALIGN_DIS_ELIDLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "P_MASK_RCVR_EIDLE_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "P_PLL_PDNB",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 10,
+ "description": "",
+ "name": "P_EBUF_SYNC_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 11,
+ "bl": 11,
+ "description": "",
+ "name": "P_LDSK_MASK_RCVR_ELEC_IDLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "P_ALLOW_PRX_FRONTEND_SHUTOFF",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "P_ALWAYS_USE_FAST_TXCLK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 14,
+ "bl": 15,
+ "description": "",
+ "name": "P_ELEC_IDLE_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "RXP_XBAR_MUX0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 19,
+ "description": "",
+ "name": "RXP_XBAR_MUX1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 21,
+ "description": "",
+ "name": "RXP_XBAR_MUX2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 23,
+ "description": "",
+ "name": "RXP_XBAR_MUX3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 27,
+ "description": "",
+ "name": "PI_RXEN_GATER",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "RXP_REALIGN_ON_EACH_TSX_OR_S",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 29,
+ "bl": 29,
+ "description": "",
+ "name": "LC_RXP_DONT_ALIGN_ON_TSx",
+ "value": []
+ }
+ ],
+ "name": "PCIE_P_CNTL",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x00000041": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "P_ELASTIC_BUF_OVERFLOW_0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "P_ELASTIC_BUF_OVERFLOW_1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "P_ELASTIC_BUF_OVERFLOW_2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "P_ELASTIC_BUF_OVERFLOW_3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "P_ELASTIC_BUF_OVERFLOW_4",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "P_ELASTIC_BUF_OVERFLOW_5",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "P_ELASTIC_BUF_OVERFLOW_6",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "P_ELASTIC_BUF_OVERFLOW_7",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "P_ELASTIC_BUF_OVERFLOW_8",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "P_ELASTIC_BUF_OVERFLOW_9",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 10,
+ "description": "",
+ "name": "P_ELASTIC_BUF_OVERFLOW_10",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 11,
+ "bl": 11,
+ "description": "",
+ "name": "P_ELASTIC_BUF_OVERFLOW_11",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "P_ELASTIC_BUF_OVERFLOW_12",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "P_ELASTIC_BUF_OVERFLOW_13",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 14,
+ "bl": 14,
+ "description": "",
+ "name": "P_ELASTIC_BUF_OVERFLOW_14",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 15,
+ "description": "",
+ "name": "P_ELASTIC_BUF_OVERFLOW_15",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "P_DESKEW_BUF_OVERFLOW_0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "P_DESKEW_BUF_OVERFLOW_1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 18,
+ "description": "",
+ "name": "P_DESKEW_BUF_OVERFLOW_2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 19,
+ "bl": 19,
+ "description": "",
+ "name": "P_DESKEW_BUF_OVERFLOW_3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "P_DESKEW_BUF_OVERFLOW_4",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 21,
+ "description": "",
+ "name": "P_DESKEW_BUF_OVERFLOW_5",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 22,
+ "description": "",
+ "name": "P_DESKEW_BUF_OVERFLOW_6",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 23,
+ "bl": 23,
+ "description": "",
+ "name": "P_DESKEW_BUF_OVERFLOW_7",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "P_DESKEW_BUF_OVERFLOW_8",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "P_DESKEW_BUF_OVERFLOW_9",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 26,
+ "description": "",
+ "name": "P_DESKEW_BUF_OVERFLOW_10",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 27,
+ "bl": 27,
+ "description": "",
+ "name": "P_DESKEW_BUF_OVERFLOW_11",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "P_DESKEW_BUF_OVERFLOW_12",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 29,
+ "bl": 29,
+ "description": "",
+ "name": "P_DESKEW_BUF_OVERFLOW_13",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 30,
+ "bl": 30,
+ "description": "",
+ "name": "P_DESKEW_BUF_OVERFLOW_14",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "P_DESKEW_BUF_OVERFLOW_15",
+ "value": []
+ }
+ ],
+ "name": "PCIE_P_BUF_STATUS",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x00000042": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "P_DECODE_ERR_0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "P_DECODE_ERR_1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "P_DECODE_ERR_2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "P_DECODE_ERR_3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "P_DECODE_ERR_4",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "P_DECODE_ERR_5",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "P_DECODE_ERR_6",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "P_DECODE_ERR_7",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "P_DECODE_ERR_8",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "P_DECODE_ERR_9",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 10,
+ "description": "",
+ "name": "P_DECODE_ERR_10",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 11,
+ "bl": 11,
+ "description": "",
+ "name": "P_DECODE_ERR_11",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "P_DECODE_ERR_12",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "P_DECODE_ERR_13",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 14,
+ "bl": 14,
+ "description": "",
+ "name": "P_DECODE_ERR_14",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 15,
+ "description": "",
+ "name": "P_DECODE_ERR_15",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "P_DISPARITY_ERR_0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "P_DISPARITY_ERR_1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 18,
+ "description": "",
+ "name": "P_DISPARITY_ERR_2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 19,
+ "bl": 19,
+ "description": "",
+ "name": "P_DISPARITY_ERR_3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "P_DISPARITY_ERR_4",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 21,
+ "description": "",
+ "name": "P_DISPARITY_ERR_5",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 22,
+ "description": "",
+ "name": "P_DISPARITY_ERR_6",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 23,
+ "bl": 23,
+ "description": "",
+ "name": "P_DISPARITY_ERR_7",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "P_DISPARITY_ERR_8",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "P_DISPARITY_ERR_9",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 26,
+ "description": "",
+ "name": "P_DISPARITY_ERR_10",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 27,
+ "bl": 27,
+ "description": "",
+ "name": "P_DISPARITY_ERR_11",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "P_DISPARITY_ERR_12",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 29,
+ "bl": 29,
+ "description": "",
+ "name": "P_DISPARITY_ERR_13",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 30,
+ "bl": 30,
+ "description": "",
+ "name": "P_DISPARITY_ERR_14",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "P_DISPARITY_ERR_15",
+ "value": []
+ }
+ ],
+ "name": "PCIE_P_DECODER_STATUS",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x00000043": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 15,
+ "description": "",
+ "name": "P_HW_DEBUG",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "P_INSERT_ERROR_0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "P_INSERT_ERROR_1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 18,
+ "description": "",
+ "name": "P_INSERT_ERROR_2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 19,
+ "bl": 19,
+ "description": "",
+ "name": "P_INSERT_ERROR_3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "P_INSERT_ERROR_4",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 21,
+ "description": "",
+ "name": "P_INSERT_ERROR_5",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 22,
+ "description": "",
+ "name": "P_INSERT_ERROR_6",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 23,
+ "bl": 23,
+ "description": "",
+ "name": "P_INSERT_ERROR_7",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "P_INSERT_ERROR_8",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "P_INSERT_ERROR_9",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 26,
+ "description": "",
+ "name": "P_INSERT_ERROR_10",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 27,
+ "bl": 27,
+ "description": "",
+ "name": "P_INSERT_ERROR_11",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "P_INSERT_ERROR_12",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 29,
+ "bl": 29,
+ "description": "",
+ "name": "P_INSERT_ERROR_13",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 30,
+ "bl": 30,
+ "description": "",
+ "name": "P_INSERT_ERROR_14",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "P_INSERT_ERROR_15",
+ "value": []
+ }
+ ],
+ "name": "PCIE_P_MISC_DEBUG_STATUS",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x00000046": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "P_SYMSYNC_ELECT_IDLE_DET_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "P_SYMSYNC_SYNC_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 9,
+ "description": "",
+ "name": "P_SYMSYNC_M_GOOD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 17,
+ "description": "",
+ "name": "P_SYMSYNC_N_BAD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 19,
+ "description": "",
+ "name": "P_SYMSYNC_PAD_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "P_SYMSYNC_BYPASS_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 21,
+ "description": "",
+ "name": "P_SYMSYNC_ENABLE_IN_GEN1",
+ "value": []
+ }
+ ],
+ "name": "PCIE_P_SYMSYNC_CTL",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x00000060": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "P_TX_STR_CNTL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 23,
+ "description": "",
+ "name": "P_TX_IMP_CNTL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 27,
+ "description": "",
+ "name": "P_RX_IMP_CNTL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "PI_HALT_IMP_CAL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "P_PAD_MANUAL_OVERRIDE",
+ "value": []
+ }
+ ],
+ "name": "PCIE_P_IMP_CNTL_STRENGTH",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x00000061": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 4,
+ "description": "",
+ "name": "P_IMP_PAD_UPDATE_RATE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 12,
+ "description": "",
+ "name": "P_IMP_PAD_SAMPLE_DELAY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 20,
+ "description": "",
+ "name": "P_IMP_PAD_INC_THRESHOLD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 28,
+ "description": "",
+ "name": "P_IMP_PAD_DEC_THRESHOLD",
+ "value": []
+ }
+ ],
+ "name": "PCIE_P_IMP_CNTL_UPDATE",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x00000062": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 4,
+ "description": "",
+ "name": "P_STR_PAD_UPDATE_RATE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 12,
+ "description": "",
+ "name": "P_STR_PAD_SAMPLE_DELAY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 20,
+ "description": "",
+ "name": "P_STR_PAD_INC_THRESHOLD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 28,
+ "description": "",
+ "name": "P_STR_PAD_DEC_THRESHOLD",
+ "value": []
+ }
+ ],
+ "name": "PCIE_P_STR_CNTL_UPDATE",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x00000063": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "P_LINK_RETRAIN_ON_ERR_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 6,
+ "description": "",
+ "name": "P_PLLCAL_INC_LOWER_PHASE",
+ "value": []
+ }
+ ],
+ "name": "PCIE_P_PAD_MISC_CNTL",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x0000006A": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "ERR_REPORTING_DIS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "ERR_GEN_INTERRUPT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "SYM_UNLOCKED_EN",
+ "value": []
+ }
+ ],
+ "name": "PCIE_ERR_CNTL",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x00000070": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "RX_IGNORE_IO_ERR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "RX_IGNORE_BE_ERR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "RX_IGNORE_MSG_ERR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "RX_IGNORE_CFG_ERR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "RX_IGNORE_CPL_ERR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "RX_IGNORE_EP_ERR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "RX_IGNORE_LEN_MISMATCH_ERR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "RX_IGNORE_MAX_PAYLOAD_ERR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "RX_IGNORE_TC_ERR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 10,
+ "description": "",
+ "name": "RX_IGNORE_CFG_UR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 11,
+ "bl": 11,
+ "description": "",
+ "name": "RX_IGNORE_IO_UR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "RX_IGNORE_VEND0_UR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "RX_NAK_IF_FIFO_FULL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 14,
+ "bl": 14,
+ "description": "",
+ "name": "RX_GEN_ONE_NAK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 15,
+ "description": "",
+ "name": "RX_FC_INIT_FROM_REG",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 18,
+ "description": "",
+ "name": "RX_RCB_CPL_TIMEOUT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 19,
+ "bl": 19,
+ "description": "",
+ "name": "RX_RCB_CPL_TIMEOUT_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "RX_PCIE_CPL_TIMEOUT_DIS",
+ "value": []
+ }
+ ],
+ "name": "PCIE_RX_CNTL",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x00000080": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 11,
+ "description": "",
+ "name": "RX_CREDITS_ALLOCATED_PD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "RX_CREDITS_ALLOCATED_PH",
+ "value": []
+ }
+ ],
+ "name": "PCIE_RX_CREDITS_ALLOCATED_P",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x00000081": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 11,
+ "description": "",
+ "name": "RX_CREDITS_ALLOCATED_NPD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "RX_CREDITS_ALLOCATED_NPH",
+ "value": []
+ }
+ ],
+ "name": "PCIE_RX_CREDITS_ALLOCATED_NP",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x00000082": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 11,
+ "description": "",
+ "name": "RX_CREDITS_ALLOCATED_CPLD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "RX_CREDITS_ALLOCATED_CPLH",
+ "value": []
+ }
+ ],
+ "name": "PCIE_RX_CREDITS_ALLOCATED_CPL",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x00000083": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 11,
+ "description": "",
+ "name": "RX_CREDITS_RECEIVED_PD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "RX_CREDITS_RECEIVED_PH",
+ "value": []
+ }
+ ],
+ "name": "PCIE_RX_CREDITS_RECEIVED_P",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x00000084": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 11,
+ "description": "",
+ "name": "RX_CREDITS_RECEIVED_NPD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "RX_CREDITS_RECEIVED_NPH",
+ "value": []
+ }
+ ],
+ "name": "PCIE_RX_CREDITS_RECEIVED_NP",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x00000085": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 11,
+ "description": "",
+ "name": "RX_CREDITS_RECEIVED_CPLD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "RX_CREDITS_RECEIVED_CPLH",
+ "value": []
+ }
+ ],
+ "name": "PCIE_RX_CREDITS_RECEIVED_CPL",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x000000A0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "LC_CM_HI_ENABLE_COUNT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "LC_DONT_ENTER_L23_IN_D0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "LC_RESET_L_IDLE_COUNT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "LC_RESET_LINK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "LC_16X_CLEAR_TX_PIPE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "LC_L0S_INACTIVITY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "LC_L1_INACTIVITY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "LC_PMI_TO_L1_DIS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "LC_INC_N_FTS_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 19,
+ "description": "",
+ "name": "LC_LOOK_FOR_IDLE_IN_L1L23",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "LC_FACTOR_IN_EXT_SYNC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 21,
+ "description": "",
+ "name": "LC_WAIT_FOR_PM_ACK_DIS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 22,
+ "description": "",
+ "name": "LC_WAKE_FROM_L23",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 23,
+ "bl": 23,
+ "description": "",
+ "name": "LC_L1_IMMEDIATE_ACK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "LC_ASPM_TO_L1_DIS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 26,
+ "description": "",
+ "name": "LC_DELAY_COUNT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 27,
+ "bl": 27,
+ "description": "",
+ "name": "LC_DELAY_L0S_EXIT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "LC_DELAY_L1_EXIT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 29,
+ "bl": 29,
+ "description": "",
+ "name": "LC_EXTEND_WAIT_FOR_EL_IDLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 30,
+ "bl": 30,
+ "description": "",
+ "name": "LC_ESCAPE_L1L23_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "LC_GATE_RCVR_IDLE",
+ "value": []
+ }
+ ],
+ "name": "PCIE_LC_CNTL",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x000000A2": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "LC_LINK_WIDTH",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "LC_RECONFIG_ARC_MISSING_ESCAP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "LC_RECONFIG_NOW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 10,
+ "description": "",
+ "name": "LC_RENEGOTIATE_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 11,
+ "bl": 11,
+ "description": "",
+ "name": "LC_SHORT_RECONFIG_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "LC_UPCONFIGURE_SUPPORT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "LC_UPCONFIGURE_DIS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 14,
+ "bl": 14,
+ "description": "",
+ "name": "LC_UPCFG_WAIT_FOR_RCVR_DIS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 15,
+ "description": "",
+ "name": "LC_UPCFG_TIMER_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "LC_DEASSERT_TX_PDNB",
+ "value": []
+ }
+ ],
+ "name": "PCIE_LC_LINK_WIDTH_CNTL",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x000000A3": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "LC_XMIT_N_FTS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "LC_XMIT_N_FTS_OVERRIDE_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "LC_XMIT_FTS_BEFORE_RECOVERY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "LC_XMIT_N_FTS_LIMIT",
+ "value": []
+ }
+ ],
+ "name": "PCIE_LC_N_FTS_CNTL",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x000000A5": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 5,
+ "description": "",
+ "name": "LC_CURRENT_STATE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 13,
+ "description": "",
+ "name": "LC_PREV_STATE1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 21,
+ "description": "",
+ "name": "LC_PREV_STATE2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 29,
+ "description": "",
+ "name": "LC_PREV_STATE3",
+ "value": []
+ }
+ ],
+ "name": "PCIE_LC_STATE0",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x000000A6": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 5,
+ "description": "",
+ "name": "LC_PREV_STATE4",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 13,
+ "description": "",
+ "name": "LC_PREV_STATE5",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 21,
+ "description": "",
+ "name": "LC_PREV_STATE6",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 29,
+ "description": "",
+ "name": "LC_PREV_STATE7",
+ "value": []
+ }
+ ],
+ "name": "PCIE_LC_STATE1",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x000000A7": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 5,
+ "description": "",
+ "name": "LC_PREV_STATE8",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 13,
+ "description": "",
+ "name": "LC_PREV_STATE9",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 21,
+ "description": "",
+ "name": "LC_PREV_STATE10",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 29,
+ "description": "",
+ "name": "LC_PREV_STATE11",
+ "value": []
+ }
+ ],
+ "name": "PCIE_LC_STATE2",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x000000A8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 5,
+ "description": "",
+ "name": "LC_PREV_STATE12",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 13,
+ "description": "",
+ "name": "LC_PREV_STATE13",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 21,
+ "description": "",
+ "name": "LC_PREV_STATE14",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 29,
+ "description": "",
+ "name": "LC_PREV_STATE15",
+ "value": []
+ }
+ ],
+ "name": "PCIE_LC_STATE3",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x000000A9": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 5,
+ "description": "",
+ "name": "LC_PREV_STATE16",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 13,
+ "description": "",
+ "name": "LC_PREV_STATE17",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 21,
+ "description": "",
+ "name": "LC_PREV_STATE18",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 29,
+ "description": "",
+ "name": "LC_PREV_STATE19",
+ "value": []
+ }
+ ],
+ "name": "PCIE_LC_STATE4",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x000000AA": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 5,
+ "description": "",
+ "name": "LC_PREV_STATE20",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 13,
+ "description": "",
+ "name": "LC_PREV_STATE21",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 21,
+ "description": "",
+ "name": "LC_PREV_STATE22",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 29,
+ "description": "",
+ "name": "LC_PREV_STATE23",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "DEVICE_ID",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "IO_ACCESS_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "MEM_ACCESS_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "BUS_MASTER_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "PARITY_ERROR_RESPONSE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "SERR_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 10,
+ "description": "",
+ "name": "INT_DIS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "MASTER_DATA_PARITY_ERROR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "RECEIVED_TARGET_ABORT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "RECEIVED_MASTER_ABORT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 14,
+ "bl": 14,
+ "description": "",
+ "name": "SIGNALED_SYSTEM_ERROR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 15,
+ "description": "",
+ "name": "PARITY_ERROR_DETECTED",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "MINOR_REV_ID",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "MAJOR_REV_ID",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "PROG_INTERFACE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "SUB_CLASS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "BASE_CLASS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "CACHE_LINE_SIZE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "INTERRUPT_LINE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "SUBSYSTEM_VENDOR_ID",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 31,
+ "description": "",
+ "name": "SUBSYSTEM_ID",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "POWER_STATE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "CORR_ERR_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "NON_FATAL_ERR_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "FATAL_ERR_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "USR_REPORT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "RELAXED_ORD_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "EXTENDED_TAG_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 11,
+ "bl": 11,
+ "description": "",
+ "name": "NO_SNOOP_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "CORR_ERR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "NON_FATAL_ERR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "FATAL_ERR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "USR_DETECTED",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 19,
+ "bl": 19,
+ "description": "",
+ "name": "SURPRISE_DOWN_ERR_REPORTING",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "PM_CONTROL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "COMMON_CLOCK_CFG",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "EXTENDED_SYNC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "CLOCK_POWER_MANAGEMENT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "HW_AUTONOMOUS_WIDTH_DISABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "CPL_TIMEOUT_VALUE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "CPL_TIMEOUT_DIS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "TARGET_LINK_SPEED",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "ENTER_COMPLIANCE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "HW_AUTONOMOUS_SPEED_DISABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "DE_EMPHASIS_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 10,
+ "description": "",
+ "name": "XMIT_MARGIN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 11,
+ "bl": 11,
+ "description": "",
+ "name": "ENTER_MOD_COMPLIANCE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "CAP_ID",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "NEXT_PTR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "MSI_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 6,
+ "description": "",
+ "name": "MSI_MULTI_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 31,
+ "description": "",
+ "name": "MSI_MSG_ADDR_LO",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "MSI_MSG_ADDR_HI",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "MSI_DATA_64",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "MSI_DATA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "DLP_ERR_STATUS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "PSN_ERR_STATUS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 14,
+ "bl": 14,
+ "description": "",
+ "name": "CPL_TIMEOUT_STATUS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "UNEXP_CPL_STATUS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 18,
+ "description": "",
+ "name": "MAL_TLP_STATUS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "UNSUPP_REQ_ERR_STATUS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "DLP_ERR_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "PSN_ERR_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 14,
+ "bl": 14,
+ "description": "",
+ "name": "CPL_TIMEOUT_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "UNEXP_CPL_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 18,
+ "description": "",
+ "name": "MAL_TLP_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "UNSUPP_REQ_ERR_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "DLP_ERR_SEVERITY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "PSN_ERR_SEVERITY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 14,
+ "bl": 14,
+ "description": "",
+ "name": "CPL_TIMEOUT_SEVERITY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "UNEXP_CPL_SEVERITY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 18,
+ "description": "",
+ "name": "MAL_TLP_SEVERITY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "UNSUPP_REQ_ERR_SEVERITY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "RCV_ERR_STATUS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "BAD_TLP_STATUS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "BAD_DLLP_STATUS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "REPLAY_NUM_ROLLOVER_STATUS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "REPLAY_TIMER_TIMEOUT_STATUS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "ADVISORY_NONFATAL_ERR_STATUS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "RCV_ERR_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "BAD_TLP_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "BAD_DLLP_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "REPLAY_NUM_ROLLOVER_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "REPLAY_TIMER_TIMEOUT_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "ADVISORY_NONFATAL_ERR_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "ECRC_GEN_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "ECRC_CHECK_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "TLP_HDR",
+ "value": []
+ }
+ ],
+ "name": "PCIE_LC_STATE5",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x000000B1": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "LC_STATE_TIMED_OUT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "LC_LOOK_FOR_BW_REDUCTION",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "LC_MORE_TS2_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "LC_X12_NEGOTIATION_DIS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 10,
+ "description": "",
+ "name": "LC_LINK_UP_REVERSAL_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 11,
+ "bl": 11,
+ "description": "",
+ "name": "LC_ILLEGAL_STATE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "LC_ILLEGAL_STATE_RESTART_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "LC_WAIT_FOR_OTHER_LANES_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 14,
+ "bl": 15,
+ "description": "",
+ "name": "LC_ELEC_IDLE_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "LC_DISABLE_INFERRED_ELEC_IDLE_",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "LC_ALLOW_PDWN_IN_L1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 18,
+ "description": "",
+ "name": "LC_ALLOW_PDWN_IN_L23",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 19,
+ "bl": 19,
+ "description": "",
+ "name": "LC_DEASSERT_RX_EN_IN_L0S",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "LC_BLOCK_EL_IDLE_IN_L0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 21,
+ "description": "",
+ "name": "LC_RCV_L0_TO_RCV_L0S_DIS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 22,
+ "description": "",
+ "name": "LC_ASSERT_INACTIVE_DURING_HOL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 23,
+ "bl": 24,
+ "description": "",
+ "name": "LC_WAIT_FOR_LANES_IN_LW_NEG",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "LC_PWR_DOWN_NEG_OFF_LANES",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 26,
+ "description": "",
+ "name": "LC_DISABLE_LOST_SYM_LOCK_ARCS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "LC_ENABLE_RX_CR_EN_DEASSERTIO",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 29,
+ "bl": 30,
+ "description": "",
+ "name": "LC_TEST_TIMER_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "LC_ENABLE_INFERRED_ELEC_IDLE_F",
+ "value": []
+ }
+ ],
+ "name": "PCIE_LC_CNTL2",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x000000EF": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "CODE_ERR_CNT_RESET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 31,
+ "description": "",
+ "name": "DISPARITY_ERR_CNT_RESET",
+ "value": []
+ }
+ ],
+ "name": "PCIE_P_DECODE_ERR_CNTL",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x000000F0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "CODE_ERR_CNT_0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 31,
+ "description": "",
+ "name": "DISPARITY_ERR_CNT_0",
+ "value": []
+ }
+ ],
+ "name": "PCIE_P_DECODE_ERR_CNT_0",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x000000F1": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "CODE_ERR_CNT_1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 31,
+ "description": "",
+ "name": "DISPARITY_ERR_CNT_1",
+ "value": []
+ }
+ ],
+ "name": "PCIE_P_DECODE_ERR_CNT_1",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x000000F2": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "CODE_ERR_CNT_2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 31,
+ "description": "",
+ "name": "DISPARITY_ERR_CNT_2",
+ "value": []
+ }
+ ],
+ "name": "PCIE_P_DECODE_ERR_CNT_2",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x000000F3": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "CODE_ERR_CNT_3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 31,
+ "description": "",
+ "name": "DISPARITY_ERR_CNT_3",
+ "value": []
+ }
+ ],
+ "name": "PCIE_P_DECODE_ERR_CNT_3",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x000000F4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "CODE_ERR_CNT_4",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 31,
+ "description": "",
+ "name": "DISPARITY_ERR_CNT_4",
+ "value": []
+ }
+ ],
+ "name": "PCIE_P_DECODE_ERR_CNT_4",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x000000F5": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "CODE_ERR_CNT_5",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 31,
+ "description": "",
+ "name": "DISPARITY_ERR_CNT_5",
+ "value": []
+ }
+ ],
+ "name": "PCIE_P_DECODE_ERR_CNT_5",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x000000F6": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "CODE_ERR_CNT_6",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 31,
+ "description": "",
+ "name": "DISPARITY_ERR_CNT_6",
+ "value": []
+ }
+ ],
+ "name": "PCIE_P_DECODE_ERR_CNT_6",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x000000F7": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "CODE_ERR_CNT_7",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 31,
+ "description": "",
+ "name": "DISPARITY_ERR_CNT_7",
+ "value": []
+ }
+ ],
+ "name": "PCIE_P_DECODE_ERR_CNT_7",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x000000F8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "CODE_ERR_CNT_8",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 31,
+ "description": "",
+ "name": "DISPARITY_ERR_CNT_8",
+ "value": []
+ }
+ ],
+ "name": "PCIE_P_DECODE_ERR_CNT_8",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x000000F9": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "CODE_ERR_CNT_9",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 31,
+ "description": "",
+ "name": "DISPARITY_ERR_CNT_9",
+ "value": []
+ }
+ ],
+ "name": "PCIE_P_DECODE_ERR_CNT_9",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x000000FA": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "CODE_ERR_CNT_10",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 31,
+ "description": "",
+ "name": "DISPARITY_ERR_CNT_10",
+ "value": []
+ }
+ ],
+ "name": "PCIE_P_DECODE_ERR_CNT_10",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x000000FB": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "CODE_ERR_CNT_11",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 31,
+ "description": "",
+ "name": "DISPARITY_ERR_CNT_11",
+ "value": []
+ }
+ ],
+ "name": "PCIE_P_DECODE_ERR_CNT_11",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x000000FC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "CODE_ERR_CNT_12",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 31,
+ "description": "",
+ "name": "DISPARITY_ERR_CNT_12",
+ "value": []
+ }
+ ],
+ "name": "PCIE_P_DECODE_ERR_CNT_12",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x000000FD": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "CODE_ERR_CNT_13",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 31,
+ "description": "",
+ "name": "DISPARITY_ERR_CNT_13",
+ "value": []
+ }
+ ],
+ "name": "PCIE_P_DECODE_ERR_CNT_13",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x000000FE": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "CODE_ERR_CNT_14",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 31,
+ "description": "",
+ "name": "DISPARITY_ERR_CNT_14",
+ "value": []
+ }
+ ],
+ "name": "PCIE_P_DECODE_ERR_CNT_14",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x000000FF": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "CODE_ERR_CNT_15",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 31,
+ "description": "",
+ "name": "DISPARITY_ERR_CNT_15",
+ "value": []
+ }
+ ],
+ "name": "PCIE_P_DECODE_ERR_CNT_15",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "name": "PCIE"
+ },
+ {
+ "0x00000000": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 30,
+ "description": "",
+ "name": "MM_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "MM_APER",
+ "value": []
+ }
+ ],
+ "name": "MM_INDEX",
+ "size": 32,
+ "unit": [
+ "MISC"
+ ]
+ },
+ "0x00000004": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "MM_DATA",
+ "value": []
+ }
+ ],
+ "name": "MM_DATA",
+ "size": 32,
+ "unit": [
+ "MISC"
+ ]
+ },
+ "0x00000030": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "PCIE_INDEX",
+ "value": []
+ }
+ ],
+ "name": "PCIE_INDEX",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x00000034": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "PCIE_DATA",
+ "value": []
+ }
+ ],
+ "name": "PCIE_DATA",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x000000A8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 23,
+ "description": "",
+ "name": "ROM_INDEX",
+ "value": []
+ }
+ ],
+ "name": "ROM_INDEX",
+ "size": 32,
+ "unit": [
+ "MISC"
+ ]
+ },
+ "0x000000AC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "ROM_DATA",
+ "value": []
+ }
+ ],
+ "name": "ROM_DATA",
+ "size": 32,
+ "unit": [
+ "MISC"
+ ]
+ },
+ "0x00000608": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "SPLL_CLKOUT_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "MPLL_CLKOUT_SEL",
+ "value": []
+ }
+ ],
+ "name": "PLL_BYPASSCLK_SEL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000060C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "SPLL_SW_DIR_CONTROL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "SPLL_REFCLK_SRC_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "SPLL_TEST",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "SPLL_FASTEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "SPLL_ENSAT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "SPLL_DIV_SYNC",
+ "value": []
+ }
+ ],
+ "name": "SPLL_CNTL_MODE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00000610": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "MPLL_RESET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "MPLL_SLEEP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 4,
+ "description": "",
+ "name": "MPLL_REF_DIV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 12,
+ "description": "",
+ "name": "MPLL_FB_DIV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "MPLL_PULSEEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 14,
+ "bl": 15,
+ "description": "",
+ "name": "MPLL_PULSENUM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "MPLL_SW_HILEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 23,
+ "description": "",
+ "name": "MPLL_SW_LOLEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "MPLL_DIVEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "MPLL_BYPASS_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 26,
+ "description": "",
+ "name": "MPLL_MCLK_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 30,
+ "bl": 30,
+ "description": "",
+ "name": "MPLL_CTLREQ",
+ "value": []
+ }
+ ],
+ "name": "MPLL_FUNC_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00000614": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "MPLL_SW_DIR_CONTROL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "MPLL_REFCLK_SRC_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "MPLL_TEST",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "MPLL_FASTEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "MPLL_ENSAT",
+ "value": []
+ }
+ ],
+ "name": "MPLL_CNTL_MODE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00000618": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "GLOBAL_PWRMGT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "STATIC_PM_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "MOBILE_SU",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "THERMAL_PROTECTION_DIS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "THERMAL_PROTECTION_TYPE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "ENABLE_GEN2PCIE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 7,
+ "description": "",
+ "name": "SW_GPIO_INDEX",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "LOW_VOLT_D2_ACPI",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "LOW_VOLT_D3_ACPI",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 10,
+ "description": "",
+ "name": "VOLT_PWRMGT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 11,
+ "bl": 11,
+ "description": "",
+ "name": "OPEN_DRAIN_PADS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "AVP_SCLK_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "IDCT_SCLK_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 14,
+ "bl": 14,
+ "description": "",
+ "name": "GPU_COUNTER_ACPI",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 15,
+ "description": "",
+ "name": "GPU_COUNTER_CLK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "BACKBIAS_PAD_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "BACKBIAS_VALUE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 18,
+ "description": "",
+ "name": "BACKBIAS_DPM_CNTL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 19,
+ "bl": 20,
+ "description": "",
+ "name": "SPREAD_SPECTRUM_INDEX",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 21,
+ "description": "",
+ "name": "DYN_SPREAD_SPECTRUM_EN",
+ "value": []
+ }
+ ],
+ "name": "GENERAL_PWRMGT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00000620": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "SCLK_PWRMGT_OFF",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "SCLK_TURNOFF",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "SPLL_TURNOFF",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "SU_SCLK_USE_BCLK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "DYNAMIC_GFX_ISLAND_PWR_DOWN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "DYNAMIC_GFX_ISLAND_LP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "CLK_TURN_ON_STAGGER",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "CLK_TURN_OFF_STAGGER",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "FIR_FORCE_TREND_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "FIR_TREND_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 10,
+ "description": "",
+ "name": "DYN_GFX_CLK_OFF_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 11,
+ "bl": 11,
+ "description": "",
+ "name": "VDDC3D_TURNOFF_D1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "VDDC3D_TURNOFF_D2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "VDDC3D_TURNOFF_D3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 14,
+ "bl": 14,
+ "description": "",
+ "name": "SPLL_TURNOFF_D2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 15,
+ "description": "",
+ "name": "SCLK_LOW_D1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "DYN_GFX_CLK_OFF_MC_EN",
+ "value": []
+ }
+ ],
+ "name": "SCLK_PWRMGT_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00000624": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "MPLL_PWRMGT_OFF",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "YCLK_TURNOFF",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "MPLL_TURNOFF",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "SU_MCLK_USE_BCLK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "DLL_READY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "SPARE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "MC_INT_CNTL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "MRDCKA_SLEEP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "MRDCKB_SLEEP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 10,
+ "description": "",
+ "name": "MRDCKC_SLEEP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 11,
+ "bl": 11,
+ "description": "",
+ "name": "MRDCKD_SLEEP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "MRDCKE_SLEEP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "MRDCKF_SLEEP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 14,
+ "bl": 14,
+ "description": "",
+ "name": "MRDCKG_SLEEP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 15,
+ "description": "",
+ "name": "MRDCKH_SLEEP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "MRDCKA_RESET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "MRDCKB_RESET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 18,
+ "description": "",
+ "name": "MRDCKC_RESET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 19,
+ "bl": 19,
+ "description": "",
+ "name": "MRDCKD_RESET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "MRDCKE_RESET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 21,
+ "description": "",
+ "name": "MRDCKF_RESET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 22,
+ "description": "",
+ "name": "MRDCKG_RESET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 23,
+ "bl": 23,
+ "description": "",
+ "name": "MRDCKH_RESET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "USE_DISPLAY_GAP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 26,
+ "description": "",
+ "name": "USE_DISP_URGENT_NORMAL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 27,
+ "bl": 27,
+ "description": "",
+ "name": "USE_DISPLAY_GAP_CTXSW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "MPLL_TURNOFF_D2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 29,
+ "bl": 29,
+ "description": "",
+ "name": "USE_DISP_URGENT_CTXSW",
+ "value": []
+ }
+ ],
+ "name": "MCLK_PWRMGT_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000062C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 9,
+ "description": "",
+ "name": "DLL_RESET_TIME",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 21,
+ "description": "",
+ "name": "DLL_LOCK_TIME",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "MRDCKA_BYPASS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "MRDCKB_BYPASS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 26,
+ "description": "",
+ "name": "MRDCKC_BYPASS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 27,
+ "bl": 27,
+ "description": "",
+ "name": "MRDCKD_BYPASS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "MRDCKE_BYPASS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 29,
+ "bl": 29,
+ "description": "",
+ "name": "MRDCKF_BYPASS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 30,
+ "bl": 30,
+ "description": "",
+ "name": "MRDCKG_BYPASS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "MRDCKH_BYPASS",
+ "value": []
+ }
+ ],
+ "name": "DLL_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00000630": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "SPLL_LOCK_TIME",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 31,
+ "description": "",
+ "name": "SPLL_RESET_TIME",
+ "value": []
+ }
+ ],
+ "name": "SPLL_TIME",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00000634": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "MPLL_LOCK_TIME",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 31,
+ "description": "",
+ "name": "MPLL_RESET_TIME",
+ "value": []
+ }
+ ],
+ "name": "MPLL_TIME",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00000640": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "OVERCLOCK_DETECTION_SCLK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "OVERCLOCK_DETECTION_YCLK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "SPLL_UNLOCK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "YPLL_UNLOCK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "YPLL2_UNLOCK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "UPLL_UNLOCK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 8,
+ "description": "",
+ "name": "ACPI_STATE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 11,
+ "description": "",
+ "name": "MCHG_STATE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "FCHANGE_STATE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "DPM_STATE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 22,
+ "description": "",
+ "name": "SCHANGE_STATE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 23,
+ "bl": 24,
+ "description": "",
+ "name": "SPLL_DIVEN_STATE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 26,
+ "description": "",
+ "name": "VCHG_STAGE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 27,
+ "bl": 29,
+ "description": "",
+ "name": "SPLL_SW_FSM_STATE",
+ "value": []
+ }
+ ],
+ "name": "ERROR_STATUS",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00000644": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "OSC_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "XTL_LOW_GAIN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "CG_CLK_TO_OUTPIN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "OSC_USE_CORE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "TEST_MCLK_RE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "TEST_YCLK_RE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "GENERICA_OE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "MUX_TCLK_TO_XCLK",
+ "value": []
+ }
+ ],
+ "name": "CG_CLKPIN_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000079C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "TST_SRC_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "TST_REF_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 14,
+ "description": "",
+ "name": "REF_TEST_COUNT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 15,
+ "description": "",
+ "name": "TST_RESET",
+ "value": []
+ }
+ ],
+ "name": "PLL_TEST_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000007A0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "CG_TC_TMS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "CG_TC_TDI",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "CG_TC_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 31,
+ "description": "",
+ "name": "CG_TC_TDO_MASK",
+ "value": []
+ }
+ ],
+ "name": "CG_TC_JTAG_0",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000007A4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "TC_CG_TDO",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "TC_CG_DONE",
+ "value": []
+ }
+ ],
+ "name": "CG_TC_JTAG_1",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000007C8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 31,
+ "description": "",
+ "name": "SYNCHRONIZER_COUNTER",
+ "value": []
+ }
+ ],
+ "name": "CG_MISC_REG",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00000820": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "SSEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "DITHEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "BWADJ_EQ_CLKF",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 10,
+ "description": "",
+ "name": "CLKS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 11,
+ "bl": 21,
+ "description": "",
+ "name": "CLKV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 31,
+ "description": "",
+ "name": "BWADJ",
+ "value": []
+ }
+ ],
+ "name": "CG_SPLL_SPREAD_SPECTRUM_LOW",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00000824": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "SSEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "DITHEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "BWADJ_EQ_CLKF",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 10,
+ "description": "",
+ "name": "CLKS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 11,
+ "bl": 21,
+ "description": "",
+ "name": "CLKV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 31,
+ "description": "",
+ "name": "BWADJ",
+ "value": []
+ }
+ ],
+ "name": "CG_SPLL_SPREAD_SPECTRUM_MED",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00000828": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "SSEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "DITHEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "BWADJ_EQ_CLKF",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 10,
+ "description": "",
+ "name": "CLKS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 11,
+ "bl": 21,
+ "description": "",
+ "name": "CLKV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 31,
+ "description": "",
+ "name": "BWADJ",
+ "value": []
+ }
+ ],
+ "name": "CG_SPLL_SPREAD_SPECTRUM_HIGH",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000082C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "SSEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "DITHEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "BWADJ_EQ_CLKF",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 10,
+ "description": "",
+ "name": "CLKS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 11,
+ "bl": 21,
+ "description": "",
+ "name": "CLKV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 31,
+ "description": "",
+ "name": "BWADJ",
+ "value": []
+ }
+ ],
+ "name": "CG_SPLL_SPREAD_SPECTRUM_CTXSW",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00000830": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "SSEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "DITHEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "BWADJ_EQ_CLKF",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 10,
+ "description": "",
+ "name": "CLKS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 11,
+ "bl": 21,
+ "description": "",
+ "name": "CLKV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 31,
+ "description": "",
+ "name": "BWADJ",
+ "value": []
+ }
+ ],
+ "name": "CG_MPLL_SPREAD_SPECTRUM",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00000834": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "SSEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "DITHEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "BWADJ_EQ_CLKF",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 10,
+ "description": "",
+ "name": "CLKS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 11,
+ "bl": 21,
+ "description": "",
+ "name": "CLKV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 31,
+ "description": "",
+ "name": "BWADJ",
+ "value": []
+ }
+ ],
+ "name": "CG_UPLL_SPREAD_SPECTRUM",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00000A00": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 20,
+ "description": "",
+ "name": "BYTE_COUNT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 25,
+ "description": "",
+ "name": "SWAP_CONTROL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 26,
+ "description": "",
+ "name": "TRANSFER_SOURCE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 27,
+ "bl": 27,
+ "description": "",
+ "name": "TRANSFER_DEST",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "SOURCE_OFFSET_HOLD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 29,
+ "bl": 29,
+ "description": "",
+ "name": "DEST_OFFSET_HOLD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 30,
+ "bl": 30,
+ "description": "",
+ "name": "INTERRUPT_DIS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "END_OF_LIST_STATUS",
+ "value": []
+ }
+ ],
+ "name": "DMA_VIPH0_COMMAND",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000A04": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 20,
+ "description": "",
+ "name": "BYTE_COUNT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 25,
+ "description": "",
+ "name": "SWAP_CONTROL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 26,
+ "description": "",
+ "name": "TRANSFER_SOURCE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 27,
+ "bl": 27,
+ "description": "",
+ "name": "TRANSFER_DEST",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "SOURCE_OFFSET_HOLD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 29,
+ "bl": 29,
+ "description": "",
+ "name": "DEST_OFFSET_HOLD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 30,
+ "bl": 30,
+ "description": "",
+ "name": "INTERRUPT_DIS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "END_OF_LIST_STATUS",
+ "value": []
+ }
+ ],
+ "name": "DMA_VIPH1_COMMAND",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000A08": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 20,
+ "description": "",
+ "name": "BYTE_COUNT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 25,
+ "description": "",
+ "name": "SWAP_CONTROL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 26,
+ "description": "",
+ "name": "TRANSFER_SOURCE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 27,
+ "bl": 27,
+ "description": "",
+ "name": "TRANSFER_DEST",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "SOURCE_OFFSET_HOLD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 29,
+ "bl": 29,
+ "description": "",
+ "name": "DEST_OFFSET_HOLD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 30,
+ "bl": 30,
+ "description": "",
+ "name": "INTERRUPT_DIS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "END_OF_LIST_STATUS",
+ "value": []
+ }
+ ],
+ "name": "DMA_VIPH2_COMMAND",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000A0C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 20,
+ "description": "",
+ "name": "BYTE_COUNT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 25,
+ "description": "",
+ "name": "SWAP_CONTROL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 26,
+ "description": "",
+ "name": "TRANSFER_SOURCE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 27,
+ "bl": 27,
+ "description": "",
+ "name": "TRANSFER_DEST",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "SOURCE_OFFSET_HOLD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 29,
+ "bl": 29,
+ "description": "",
+ "name": "DEST_OFFSET_HOLD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 30,
+ "bl": 30,
+ "description": "",
+ "name": "INTERRUPT_DIS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "END_OF_LIST_STATUS",
+ "value": []
+ }
+ ],
+ "name": "DMA_VIPH3_COMMAND",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000A10": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "DMA_VIPH0_AVAIL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "DMA_VIPH1_AVAIL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "DMA_VIPH2_AVAIL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "DMA_VIPH3_AVAIL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "DMA_VIPH0_CURRENT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 19,
+ "description": "",
+ "name": "DMA_VIPH1_CURRENT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 21,
+ "description": "",
+ "name": "DMA_VIPH2_CURRENT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 23,
+ "description": "",
+ "name": "DMA_VIPH3_CURRENT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "DMA_VIPH0_ACTIVE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "DMA_VIPH1_ACTIVE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 26,
+ "description": "",
+ "name": "DMA_VIPH2_ACTIVE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 27,
+ "bl": 27,
+ "description": "",
+ "name": "DMA_VIPH3_ACTIVE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "VIP_RBBM_H0DMA_IDLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 29,
+ "bl": 29,
+ "description": "",
+ "name": "VIP_RBBM_H1DMA_IDLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 30,
+ "bl": 30,
+ "description": "",
+ "name": "VIP_RBBM_H2DMA_IDLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "VIP_RBBM_H3DMA_IDLE",
+ "value": []
+ }
+ ],
+ "name": "DMA_VIPH_STATUS",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000A14": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "DMA_VIPH_READ_TIMER",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "DMA_VIPH_READ_TIMEOUT_TO_PRIO",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DMA_VIPH_READ_TIMEOUT_STATUS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "DMA_VIPH_URGENT_EN",
+ "value": []
+ }
+ ],
+ "name": "DMA_VIPH_MISC_CNTL",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000A18": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "DMA_VIPH3_TABLE_SWAP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 3,
+ "description": "",
+ "name": "DMA_VIPH2_TABLE_SWAP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 5,
+ "description": "",
+ "name": "DMA_VIPH1_TABLE_SWAP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 7,
+ "description": "",
+ "name": "DMA_VIPH0_TABLE_SWAP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "DMA_VIPH3_NOCHUNK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 29,
+ "bl": 29,
+ "description": "",
+ "name": "DMA_VIPH2_NOCHUNK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 30,
+ "bl": 30,
+ "description": "",
+ "name": "DMA_VIPH1_NOCHUNK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "DMA_VIPH0_NOCHUNK",
+ "value": []
+ }
+ ],
+ "name": "DMA_VIPH_CHUNK_0",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000A1C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "DMA_VIP0_CHUNK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "DMA_VIP1_CHUNK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "DMA_VIP2_CHUNK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 31,
+ "description": "",
+ "name": "DMA_VIP3_CHUNK",
+ "value": []
+ }
+ ],
+ "name": "DMA_VIPH_CHUNK_1_VAL",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000A20": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "DMA_VIPH_TABLE_ADDR",
+ "value": []
+ }
+ ],
+ "name": "DMA_VIP0_TABLE_ADDR",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000A24": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "DMA_VIPH_TABLE_ADDR_ACT",
+ "value": []
+ }
+ ],
+ "name": "DMA_VIPH0_ACTIVE",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000A30": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "DMA_VIPH_TABLE_ADDR",
+ "value": []
+ }
+ ],
+ "name": "DMA_VIP1_TABLE_ADDR",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000A34": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "DMA_VIPH_TABLE_ADDR_ACT",
+ "value": []
+ }
+ ],
+ "name": "DMA_VIPH1_ACTIVE",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000A40": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "DMA_VIPH_TABLE_ADDR",
+ "value": []
+ }
+ ],
+ "name": "DMA_VIP2_TABLE_ADDR",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000A44": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "DMA_VIPH_TABLE_ADDR_ACT",
+ "value": []
+ }
+ ],
+ "name": "DMA_VIPH2_ACTIVE",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000A50": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "DMA_VIPH_TABLE_ADDR",
+ "value": []
+ }
+ ],
+ "name": "DMA_VIP3_TABLE_ADDR",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000A54": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "DMA_VIPH_TABLE_ADDR_ACT",
+ "value": []
+ }
+ ],
+ "name": "DMA_VIPH3_ACTIVE",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000A88": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "DMA_VIPH0_ABORT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "DMA_VIPH1_ABORT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 11,
+ "bl": 11,
+ "description": "",
+ "name": "DMA_VIPH2_ABORT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 15,
+ "description": "",
+ "name": "DMA_VIPH3_ABORT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "DMA_VIPH0_RESET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 21,
+ "description": "",
+ "name": "DMA_VIPH1_RESET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 22,
+ "description": "",
+ "name": "DMA_VIPH2_RESET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 23,
+ "bl": 23,
+ "description": "",
+ "name": "DMA_VIPH3_RESET",
+ "value": []
+ }
+ ],
+ "name": "DMA_VIPH_ABORT",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000AC0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "VIPPAD_MASK_SCL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "VIPPAD_MASK_SDA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 3,
+ "description": "",
+ "name": "VIPPAD_MASK_VHAD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "VIPPAD_MASK_VPHCTL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "VIPPAD_MASK_VIPCLK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "VIPPAD_MASK_VID",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "VIPPAD_MASK_VPCLK0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "VIPPAD_MASK_DVALID",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 18,
+ "description": "",
+ "name": "VIPPAD_MASK_PSYNC",
+ "value": []
+ }
+ ],
+ "name": "VIPPAD_MASK",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000AC4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "VIPPAD_A_SCL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "VIPPAD_A_SDA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 3,
+ "description": "",
+ "name": "VIPPAD_A_VHAD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "VIPPAD_A_VPHCTL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "VIPPAD_A_VIPCLK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "VIPPAD_A_VID",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "VIPPAD_A_VPCLK0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "VIPPAD_A_DVALID",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 18,
+ "description": "",
+ "name": "VIPPAD_A_PSYNC",
+ "value": []
+ }
+ ],
+ "name": "VIPPAD_A",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000AC8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "VIPPAD_EN_SCL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "VIPPAD_EN_SDA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 3,
+ "description": "",
+ "name": "VIPPAD_EN_VHAD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "VIPPAD_EN_VPHCTL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "VIPPAD_EN_VIPCLK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "VIPPAD_EN_VID",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "VIPPAD_EN_VPCLK0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "VIPPAD_EN_DVALID",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 18,
+ "description": "",
+ "name": "VIPPAD_EN_PSYNC",
+ "value": []
+ }
+ ],
+ "name": "VIPPAD_EN",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000ACC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "VIPPAD_Y_SCL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "VIPPAD_Y_SDA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 3,
+ "description": "",
+ "name": "VIPPAD_Y_VHAD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "VIPPAD_Y_VPHCTL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "VIPPAD_Y_VIPCLK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "VIPPAD_Y_VID",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "VIPPAD_Y_VPCLK0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "VIPPAD_Y_DVALID",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 18,
+ "description": "",
+ "name": "VIPPAD_Y_PSYNC",
+ "value": []
+ }
+ ],
+ "name": "VIPPAD_Y",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000AD0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "I2C_STRENGTH_SN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "I2C_STRENGTH_SP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "VIPHDAT_STRENGTH_SN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "VIPHDAT_STRENGTH_SP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "VIPHCLK_STRENGTH_SN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 23,
+ "description": "",
+ "name": "VIPHCLK_STRENGTH_SP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 27,
+ "description": "",
+ "name": "VIDCAP_STRENGTH_SN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 31,
+ "description": "",
+ "name": "VIDCAP_STRENGTH_SP",
+ "value": []
+ }
+ ],
+ "name": "VIPPAD_STRENGTH",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000B00": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 9,
+ "description": "",
+ "name": "CAP0_BUFFER_WATER_MARK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "FULL_BUFFER_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "CAP0_ANC_VBI_QUAD_BUF",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "VID_BUFFER_RESET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 22,
+ "description": "",
+ "name": "CAP_SWAP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "CAP_URGENT_EN",
+ "value": []
+ }
+ ],
+ "name": "VID_BUFFER_CONTROL",
+ "size": 32,
+ "unit": [
+ "CAPTURE"
+ ]
+ },
+ "0x00000B08": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "CAP0_BUF0_INT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "CAP0_BUF0_EVEN_INT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "CAP0_BUF1_INT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "CAP0_BUF1_EVEN_INT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "CAP0_VBI0_INT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "CAP0_VBI1_INT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "CAP0_ONESHOT_INT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "CAP0_ANC0_INT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "CAP0_ANC1_INT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "CAP0_VBI2_INT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 10,
+ "description": "",
+ "name": "CAP0_VBI3_INT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 11,
+ "bl": 11,
+ "description": "",
+ "name": "CAP0_ANC2_INT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "CAP0_ANC3_INT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "CAP0_BUF_INT_MUX",
+ "value": []
+ }
+ ],
+ "name": "CAP_INT_CNTL",
+ "size": 32,
+ "unit": [
+ "CAPTURE"
+ ]
+ },
+ "0x00000B0C": {
+ "field": [],
+ "name": "CAP_INT_STATUS",
+ "size": 32,
+ "unit": [
+ "CAPTURE"
+ ]
+ },
+ "0x00000B20": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "CAP_BUF0_OFFSET",
+ "value": []
+ }
+ ],
+ "name": "CAP0_BUF0_OFFSET",
+ "size": 32,
+ "unit": [
+ "CAPTURE"
+ ]
+ },
+ "0x00000B24": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "CAP_BUF1_OFFSET",
+ "value": []
+ }
+ ],
+ "name": "CAP0_BUF1_OFFSET",
+ "size": 32,
+ "unit": [
+ "CAPTURE"
+ ]
+ },
+ "0x00000B28": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "CAP_BUF0_EVEN_OFFSET",
+ "value": []
+ }
+ ],
+ "name": "CAP0_BUF0_EVEN_OFFSET",
+ "size": 32,
+ "unit": [
+ "CAPTURE"
+ ]
+ },
+ "0x00000B2C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "CAP_BUF1_EVEN_OFFSET",
+ "value": []
+ }
+ ],
+ "name": "CAP0_BUF1_EVEN_OFFSET",
+ "size": 32,
+ "unit": [
+ "CAPTURE"
+ ]
+ },
+ "0x00000B30": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 11,
+ "description": "",
+ "name": "CAP_BUF_PITCH",
+ "value": []
+ }
+ ],
+ "name": "CAP0_BUF_PITCH",
+ "size": 32,
+ "unit": [
+ "CAPTURE"
+ ]
+ },
+ "0x00000B34": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 11,
+ "description": "",
+ "name": "CAP_V_START",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 27,
+ "description": "",
+ "name": "CAP_V_END",
+ "value": []
+ }
+ ],
+ "name": "CAP0_V_WINDOW",
+ "size": 32,
+ "unit": [
+ "CAPTURE"
+ ]
+ },
+ "0x00000B38": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 11,
+ "description": "",
+ "name": "CAP_H_START",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 27,
+ "description": "",
+ "name": "CAP_H_WIDTH",
+ "value": []
+ }
+ ],
+ "name": "CAP0_H_WINDOW",
+ "size": 32,
+ "unit": [
+ "CAPTURE"
+ ]
+ },
+ "0x00000B3C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "CAP_VBI0_OFFSET",
+ "value": []
+ }
+ ],
+ "name": "CAP0_VBI0_OFFSET",
+ "size": 32,
+ "unit": [
+ "CAPTURE"
+ ]
+ },
+ "0x00000B40": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "CAP_VBI1_OFFSET",
+ "value": []
+ }
+ ],
+ "name": "CAP0_VBI1_OFFSET",
+ "size": 32,
+ "unit": [
+ "CAPTURE"
+ ]
+ },
+ "0x00000B44": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 11,
+ "description": "",
+ "name": "CAP_VBI_V_START",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 27,
+ "description": "",
+ "name": "CAP_VBI_V_END",
+ "value": []
+ }
+ ],
+ "name": "CAP0_VBI_V_WINDOW",
+ "size": 32,
+ "unit": [
+ "CAPTURE"
+ ]
+ },
+ "0x00000B48": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 11,
+ "description": "",
+ "name": "CAP_VBI_H_START",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 27,
+ "description": "",
+ "name": "CAP_VBI_H_WIDTH",
+ "value": []
+ }
+ ],
+ "name": "CAP0_VBI_H_WINDOW",
+ "size": 32,
+ "unit": [
+ "CAPTURE"
+ ]
+ },
+ "0x00000B4C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "CAP_PORT_WIDTH",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "CAP_PORT_BYTE_USED",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "CAP_DDR_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "CAP_DDR_SYNC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "MOBILE_DIS",
+ "value": []
+ }
+ ],
+ "name": "CAP0_PORT_MODE_CNTL",
+ "size": 32,
+ "unit": [
+ "CAPTURE"
+ ]
+ },
+ "0x00000B50": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "CAP_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "CAP_VSYNC_CLR",
+ "value": []
+ }
+ ],
+ "name": "CAP0_TRIG_CNTL",
+ "size": 32,
+ "unit": [
+ "CAPTURE"
+ ]
+ },
+ "0x00000B54": {
+ "field": [],
+ "name": "CAP0_DEBUG",
+ "size": 32,
+ "unit": [
+ "CAPTURE"
+ ]
+ },
+ "0x00000B58": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "CAP_INPUT_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "CAP_START_FIELD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 5,
+ "description": "",
+ "name": "CAP_BUF_TYPE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "CAP_ONESHOT_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 8,
+ "description": "",
+ "name": "CAP_BUF_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "CAP_MIRROR_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 10,
+ "description": "",
+ "name": "CAP_ONESHOT_MIRROR_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 11,
+ "bl": 11,
+ "description": "",
+ "name": "CAP_VIDEO_SIGNED_UV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "CAP_ANC_DECODE_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "CAP_VBI_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 14,
+ "bl": 14,
+ "description": "",
+ "name": "CAP_SOFT_PULL_DOWN_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 15,
+ "description": "",
+ "name": "CAP_VIP_EXTEND_FLAG_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "CAP_FAKE_FIELD_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 18,
+ "description": "",
+ "name": "CAP_FIELD_START_LINE_DIFF",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 19,
+ "bl": 20,
+ "description": "",
+ "name": "CAP_HORZ_DOWN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 22,
+ "description": "",
+ "name": "CAP_VERT_DOWN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 23,
+ "bl": 25,
+ "description": "",
+ "name": "CAP_STREAM_FORMAT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 26,
+ "description": "",
+ "name": "CAP_HDWNS_DEC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 27,
+ "bl": 27,
+ "description": "",
+ "name": "CAP_IMAGE_FLIP_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "CAP_ONESHOT_IMAGE_FLIP_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 29,
+ "bl": 29,
+ "description": "",
+ "name": "CAP_VIDEO_IN_FORMAT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 30,
+ "bl": 31,
+ "description": "",
+ "name": "VBI_HORZ_DOWN",
+ "value": []
+ }
+ ],
+ "name": "CAP0_CONFIG",
+ "size": 32,
+ "unit": [
+ "CAPTURE"
+ ]
+ },
+ "0x00000B5C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "CAP_ANC0_OFFSET",
+ "value": []
+ }
+ ],
+ "name": "CAP0_ANC0_OFFSET",
+ "size": 32,
+ "unit": [
+ "CAPTURE"
+ ]
+ },
+ "0x00000B60": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "CAP_ANC1_OFFSET",
+ "value": []
+ }
+ ],
+ "name": "CAP0_ANC1_OFFSET",
+ "size": 32,
+ "unit": [
+ "CAPTURE"
+ ]
+ },
+ "0x00000B64": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 11,
+ "description": "",
+ "name": "CAP_ANC_WIDTH",
+ "value": []
+ }
+ ],
+ "name": "CAP0_ANC_H_WINDOW",
+ "size": 32,
+ "unit": [
+ "CAPTURE"
+ ]
+ },
+ "0x00000B68": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "CAP_TEST_VID_SOF",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "CAP_TEST_VID_EOF",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "CAP_TEST_VID_EOL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "CAP_TEST_VID_FIELD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "CAP_TEST_SYNC_EN",
+ "value": []
+ }
+ ],
+ "name": "CAP0_VIDEO_SYNC_TEST",
+ "size": 32,
+ "unit": [
+ "CAPTURE"
+ ]
+ },
+ "0x00000B6C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "CAP_ONESHOT_BUF_OFFSET",
+ "value": []
+ }
+ ],
+ "name": "CAP0_ONESHOT_BUF_OFFSET",
+ "size": 32,
+ "unit": [
+ "CAPTURE"
+ ]
+ },
+ "0x00000B70": {
+ "field": [],
+ "name": "CAP0_BUF_STATUS",
+ "size": 32,
+ "unit": [
+ "CAPTURE"
+ ]
+ },
+ "0x00000B74": {
+ "field": [],
+ "name": "CAP0_ANC_BUF01_BLOCK_CNT",
+ "size": 32,
+ "unit": [
+ "CAPTURE"
+ ]
+ },
+ "0x00000B7C": {
+ "field": [],
+ "name": "CAP0_ANC_BUF23_BLOCK_CNT",
+ "size": 32,
+ "unit": [
+ "CAPTURE"
+ ]
+ },
+ "0x00000B80": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "CAP_VBI2_OFFSET",
+ "value": []
+ }
+ ],
+ "name": "CAP0_VBI2_OFFSET",
+ "size": 32,
+ "unit": [
+ "CAPTURE"
+ ]
+ },
+ "0x00000B84": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "CAP_VBI3_OFFSET",
+ "value": []
+ }
+ ],
+ "name": "CAP0_VBI3_OFFSET",
+ "size": 32,
+ "unit": [
+ "CAPTURE"
+ ]
+ },
+ "0x00000B88": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "CAP_ANC2_OFFSET",
+ "value": []
+ }
+ ],
+ "name": "CAP0_ANC2_OFFSET",
+ "size": 32,
+ "unit": [
+ "CAPTURE"
+ ]
+ },
+ "0x00000B8C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "CAP_ANC3_OFFSET",
+ "value": []
+ }
+ ],
+ "name": "CAP0_ANC3_OFFSET",
+ "size": 32,
+ "unit": [
+ "CAPTURE"
+ ]
+ },
+ "0x00000BC0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "I2C_DONE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "I2C_NACK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "I2C_HALT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "I2C_SOFT_RST",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "I2C_DRIVE_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "I2C_DRIVE_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "I2C_START",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "I2C_STOP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 10,
+ "description": "",
+ "name": "I2C_RECEIVE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 11,
+ "bl": 11,
+ "description": "",
+ "name": "I2C_ABORT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "I2C_GO",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 31,
+ "description": "",
+ "name": "I2C_PRESCALE",
+ "value": []
+ }
+ ],
+ "name": "I2C_CNTL_0",
+ "size": 32,
+ "unit": [
+ "I2C"
+ ]
+ },
+ "0x00000BC4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "I2C_DATA_COUNT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 6,
+ "description": "",
+ "name": "I2C_ADDR_COUNT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "I2C_INTRA_BYTE_DELAY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "I2C_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "I2C_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 31,
+ "description": "",
+ "name": "I2C_TIME_LIMIT",
+ "value": []
+ }
+ ],
+ "name": "I2C_CNTL_1",
+ "size": 32,
+ "unit": [
+ "I2C"
+ ]
+ },
+ "0x00000BC8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "I2C_DATA",
+ "value": []
+ }
+ ],
+ "name": "I2C_DATA",
+ "size": 32,
+ "unit": [
+ "I2C"
+ ]
+ },
+ "0x00000C00": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "VIPH_CH0_DT",
+ "value": []
+ }
+ ],
+ "name": "VIPH_CH0_DATA",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000C04": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "VIPH_CH1_DT",
+ "value": []
+ }
+ ],
+ "name": "VIPH_CH1_DATA",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000C08": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "VIPH_CH2_DT",
+ "value": []
+ }
+ ],
+ "name": "VIPH_CH2_DATA",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000C0C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "VIPH_CH3_DT",
+ "value": []
+ }
+ ],
+ "name": "VIPH_CH3_DATA",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000C10": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "VIPH_CH0_AD",
+ "value": []
+ }
+ ],
+ "name": "VIPH_CH0_ADDR",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000C14": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "VIPH_CH1_AD",
+ "value": []
+ }
+ ],
+ "name": "VIPH_CH1_ADDR",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000C18": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "VIPH_CH2_AD",
+ "value": []
+ }
+ ],
+ "name": "VIPH_CH2_ADDR",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000C1C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "VIPH_CH3_AD",
+ "value": []
+ }
+ ],
+ "name": "VIPH_CH3_ADDR",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000C20": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 19,
+ "description": "",
+ "name": "VIPH_CH0_SCNT",
+ "value": []
+ }
+ ],
+ "name": "VIPH_CH0_SBCNT",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000C24": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 19,
+ "description": "",
+ "name": "VIPH_CH1_SCNT",
+ "value": []
+ }
+ ],
+ "name": "VIPH_CH1_SBCNT",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000C28": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 19,
+ "description": "",
+ "name": "VIPH_CH2_SCNT",
+ "value": []
+ }
+ ],
+ "name": "VIPH_CH2_SBCNT",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000C2C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 19,
+ "description": "",
+ "name": "VIPH_CH3_SCNT",
+ "value": []
+ }
+ ],
+ "name": "VIPH_CH3_SBCNT",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000C30": {
+ "field": [],
+ "name": "VIPH_CH0_ABCNT",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000C34": {
+ "field": [],
+ "name": "VIPH_CH1_ABCNT",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000C38": {
+ "field": [],
+ "name": "VIPH_CH2_ABCNT",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000C3C": {
+ "field": [],
+ "name": "VIPH_CH3_ABCNT",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000C40": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "VIPH_CLK_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "VIPH_MAX_WAIT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "VIPH_DMA_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 21,
+ "description": "",
+ "name": "VIPH_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "VIPH_DV0_WID",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "VIPH_DV1_WID",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 26,
+ "description": "",
+ "name": "VIPH_DV2_WID",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 27,
+ "bl": 27,
+ "description": "",
+ "name": "VIPH_DV3_WID",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 29,
+ "bl": 29,
+ "description": "",
+ "name": "VIPH_VIPCLK_DIS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 30,
+ "bl": 30,
+ "description": "",
+ "name": "VIPH_INT_SEL",
+ "value": []
+ }
+ ],
+ "name": "VIPH_CONTROL",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000C44": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 11,
+ "description": "",
+ "name": "VIPH_TIME_UNIT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "VIPH_DV0_LAT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 23,
+ "description": "",
+ "name": "VIPH_DV1_LAT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 27,
+ "description": "",
+ "name": "VIPH_DV2_LAT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 31,
+ "description": "",
+ "name": "VIPH_DV3_LAT",
+ "value": []
+ }
+ ],
+ "name": "VIPH_DV_LAT",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000C48": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "VIPH_CH0_CHUNK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 5,
+ "description": "",
+ "name": "VIPH_CH1_CHUNK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 7,
+ "description": "",
+ "name": "VIPH_CH2_CHUNK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 9,
+ "description": "",
+ "name": "VIPH_CH3_CHUNK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "VIPH_CH0_ABORT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "VIPH_CH1_ABORT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 18,
+ "description": "",
+ "name": "VIPH_CH2_ABORT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 19,
+ "bl": 19,
+ "description": "",
+ "name": "VIPH_CH3_ABORT",
+ "value": []
+ }
+ ],
+ "name": "VIPH_DMA_CHUNK",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000C4C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "VIPH_DV0_INT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "VIPH_DV1_INT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "VIPH_DV2_INT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "VIPH_DV3_INT_EN",
+ "value": []
+ }
+ ],
+ "name": "VIPH_DV_INT",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000C50": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "VIPH_FIFO0_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "VIPH_FIFO1_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 10,
+ "description": "",
+ "name": "VIPH_FIFO2_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 11,
+ "bl": 11,
+ "description": "",
+ "name": "VIPH_FIFO3_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "VIPH_REG_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "VIPH_AUTO_INT_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "VIPH_DV0_INT_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "VIPH_DV1_INT_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 18,
+ "description": "",
+ "name": "VIPH_DV2_INT_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 19,
+ "bl": 19,
+ "description": "",
+ "name": "VIPH_DV3_INT_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "VIPH_INTPIN_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "VIPH_REGR_DIS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "VIP_RBBMIF_RDWR_TIMEOUT_DIS",
+ "value": []
+ }
+ ],
+ "name": "VIPH_TIMEOUT_STAT",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000C80": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "VIPH_REG_AD",
+ "value": []
+ }
+ ],
+ "name": "VIPH_REG_ADDR",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000C84": {
+ "field": [],
+ "name": "VIPH_REG_DATA",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000E50": {
+ "field": [],
+ "name": "SRBM_STATUS",
+ "size": 32,
+ "unit": [
+ "SRBM"
+ ]
+ },
+ "0x00000E54": {
+ "field": [],
+ "name": "EXTERN_TRIG_CNTL",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00000E60": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "SOFT_RESET_RLC",
+ "value": []
+ }
+ ],
+ "name": "SRBM_SOFT_RESET",
+ "size": 32,
+ "unit": [
+ "SRBM"
+ ]
+ },
+ "0x00001400": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "ENABLE_L2_CACHE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "ENABLE_L2_FRAGMENT_PROCESSING",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "ENABLE_L2_PTE_CACHE_LRU_UPDATE_BY_WRITE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 15,
+ "description": "",
+ "name": "EFFECTIVE_L2_QUEUE_SIZE",
+ "value": []
+ }
+ ],
+ "name": "VM_L2_CNTL",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x00001404": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "INVALIDATE_ALL_L1_TLBS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "INVALIDATE_L2_CACHE",
+ "value": []
+ }
+ ],
+ "name": "VM_L2_CNTL2",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x00001408": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 4,
+ "description": "",
+ "name": "BANK_SELECT_0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 9,
+ "description": "",
+ "name": "BANK_SELECT_1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 11,
+ "description": "",
+ "name": "L2_CACHE_UPDATE_MODE",
+ "value": []
+ }
+ ],
+ "name": "VM_L2_CNTL3",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x0000140C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "L2_BUSY",
+ "value": []
+ }
+ ],
+ "name": "VM_L2_STATUS",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x00001410": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "ENABLE_CONTEXT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 2,
+ "description": "",
+ "name": "PAGE_TABLE_DEPTH",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "RANGE_PROTECTION_FAULT_ENABLE_DEFAULT",
+ "value": []
+ }
+ ],
+ "name": "VM_CONTEXT0_CNTL",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x00001470": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "REQUEST_TYPE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "RESPONSE_TYPE",
+ "value": []
+ }
+ ],
+ "name": "VM_CONTEXT0_REQUEST_RESPONSE",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x00001490": {
+ "field": [],
+ "name": "VM_CONTEXT0_INVALIDATION_LOW_ADDR",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x000014B0": {
+ "field": [],
+ "name": "VM_CONTEXT0_INVALIDATION_HIGH_ADDR",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x00001554": {
+ "field": [],
+ "name": "VM_CONTEXT0_PROTECTION_FAULT_DEFAULT_ADDR",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x00001574": {
+ "field": [],
+ "name": "VM_CONTEXT0_PAGE_TABLE_BASE_ADDR",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x00001594": {
+ "field": [],
+ "name": "VM_CONTEXT0_PAGE_TABLE_START_ADDR",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x000015B4": {
+ "field": [],
+ "name": "VM_CONTEXT0_PAGE_TABLE_END_ADDR",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x00001600": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "SCK_OVERWRITE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "CLOCK_GATING_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "CSB_ACTIVE_TO_SCK_SETUP_TIME",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "CSB_ACTIVE_TO_SCK_HOLD_TIME",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 27,
+ "description": "",
+ "name": "SCK_PRESCALE_REFCLK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 31,
+ "description": "",
+ "name": "SCK_PRESCALE_CRYSTAL_CLK",
+ "value": []
+ }
+ ],
+ "name": "ROM_CNTL",
+ "size": 32,
+ "unit": [
+ "MISC"
+ ]
+ },
+ "0x00001608": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "ROM_BUSY",
+ "value": []
+ }
+ ],
+ "name": "ROM_STATUS",
+ "size": 32,
+ "unit": [
+ "MISC"
+ ]
+ },
+ "0x00001614": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 23,
+ "description": "",
+ "name": "ROM_START",
+ "value": []
+ }
+ ],
+ "name": "ROM_START",
+ "size": 32,
+ "unit": [
+ "MISC"
+ ]
+ },
+ "0x00001794": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "GPIO_STRENGTH_SN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "GPIO_STRENGTH_SP",
+ "value": []
+ }
+ ],
+ "name": "GPIOPAD_STRENGTH",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00001798": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 28,
+ "description": "",
+ "name": "GPIO_MASK",
+ "value": []
+ }
+ ],
+ "name": "GPIOPAD_MASK",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x0000179C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 28,
+ "description": "",
+ "name": "GPIO_A",
+ "value": []
+ }
+ ],
+ "name": "GPIOPAD_A",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x000017A0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 28,
+ "description": "",
+ "name": "GPIO_EN",
+ "value": []
+ }
+ ],
+ "name": "GPIOPAD_EN",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x000017A4": {
+ "field": [],
+ "name": "GPIOPAD_Y",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x000017C4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 4,
+ "description": "",
+ "name": "EXTERN_TRIG_SEL",
+ "value": []
+ }
+ ],
+ "name": "GPIOPAD_EXTERN_TRIG_CNTL",
+ "size": 32,
+ "unit": [
+ "VIP"
+ ]
+ },
+ "0x00002000": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "MCDW_WR_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "MCDX_WR_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "MCDY_WR_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "MCDZ_WR_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "MCB_WR_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 7,
+ "description": "",
+ "name": "MC_RD_ENABLE",
+ "value": []
+ }
+ ],
+ "name": "MC_CONFIG",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002004": {
+ "field": [],
+ "name": "CHMAP",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x00002180": {
+ "field": [],
+ "name": "MC_VM_FB_LOCATION",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x00002184": {
+ "field": [],
+ "name": "MC_VM_AGP_TOP",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x00002188": {
+ "field": [],
+ "name": "MC_VM_AGP_BOT",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x0000218C": {
+ "field": [],
+ "name": "MC_VM_AGP_BASE",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x00002190": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 19,
+ "description": "",
+ "name": "LOGICAL_PAGE_NUMBER",
+ "value": []
+ }
+ ],
+ "name": "MC_VM_SYSTEM_APERTURE_LOW_ADDR",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x00002194": {
+ "field": [],
+ "name": "MC_VM_SYSTEM_APERTURE_HIGH_ADDR",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x00002198": {
+ "field": [],
+ "name": "MC_VM_SYSTEM_APERTURE_DEFAULT_ADDR",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x0000219C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "ENABLE_L1_TLB",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "ENABLE_L1_FRAGMENT_PROCESSING",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "ENABLE_L1_STRICT_ORDERING",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 7,
+ "description": "",
+ "name": "SYSTEM_ACCESS_MODE",
+ "value": [
+ {
+ "description": "",
+ "name": "SYSTEM_ACCESS_MODE_PA_ONLY",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "SYSTEM_ACCESS_MODE_USE_SYS_MAP",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "SYSTEM_ACCESS_MODE_IN_SYS",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "SYSTEM_ACCESS_MODE_NOT_IN_SYS",
+ "value": 0
+ }
+ ]
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "SYSTEM_APERTURE_UNMAPPED_ACCESS",
+ "value": [
+ {
+ "description": "",
+ "name": "SYSTEM_APERTURE_UNMAPPED_ACCESS_DEFAULT_PAGE",
+ "value": 0
+ }
+ ]
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 10,
+ "description": "",
+ "name": "ENABLE_SEMAPHORE_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 11,
+ "bl": 11,
+ "description": "",
+ "name": "ENABLE_WAIT_L2_QUERY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 14,
+ "description": "",
+ "name": "EFFECTIVE_L1_TLB_SIZE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 17,
+ "description": "",
+ "name": "EFFECTIVE_L1_QUEUE_SIZE",
+ "value": []
+ }
+ ],
+ "name": "MC_VM_L1_TLB_MCD_RD_A_CNTL",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x000021A0": {
+ "field": [],
+ "name": "MC_VM_L1_TLB_MCD_RD_B_CNTL",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x000021A4": {
+ "field": [],
+ "name": "MC_VM_L1_TLB_MCD_WR_A_CNTL",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x000021A8": {
+ "field": [],
+ "name": "MC_VM_L1_TLB_MCD_WR_B_CNTL",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x000021FC": {
+ "field": [],
+ "name": "MC_VM_L1_TLB_MCB_RD_GFX_CNTL",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x00002200": {
+ "field": [],
+ "name": "MC_VM_L1_TLB_MCB_RD_SYS_CNTL",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x00002204": {
+ "field": [],
+ "name": "MC_VM_L1_TLB_MCB_RD_HDP_CNTL",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x00002208": {
+ "field": [],
+ "name": "MC_VM_L1_TLB_MCB_RD_PDMA_CNTL",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x0000220C": {
+ "field": [],
+ "name": "MC_VM_L1_TLB_MCB_RD_SEM_CNTL",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x00002210": {
+ "field": [],
+ "name": "MC_VM_L1_TLB_MCB_WR_GFX_CNTL",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x00002214": {
+ "field": [],
+ "name": "MC_VM_L1_TLB_MCB_WR_SYS_CNTL",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x00002218": {
+ "field": [],
+ "name": "MC_VM_L1_TLB_MCB_WR_HDP_CNTL",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x0000221C": {
+ "field": [],
+ "name": "MC_VM_L1_TLB_MCB_WR_PDMA_CNTL",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x00002220": {
+ "field": [],
+ "name": "MC_VM_L1_TLB_MCB_WR_SEM_CNTL",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x00002408": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "NOOFBANK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "NOOFRANK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 5,
+ "description": "",
+ "name": "NOOFROWS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 6,
+ "description": "",
+ "name": "NOOFCOLS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "CHANSIZE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "BURSTLENGTH",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 10,
+ "description": "",
+ "name": "CHANSIZE_OVERRIDE",
+ "value": []
+ }
+ ],
+ "name": "RAMCFG",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x00002600": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "MEM_ADDR_MAP_COLS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "MEM_ADDR_MAP_BANK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 5,
+ "description": "",
+ "name": "SAFE_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 9,
+ "description": "",
+ "name": "CHANNEL_DISABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "PIPE_DELAY_OUT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "PIPE_DELAY_IN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "MSKOFF_DAT_TL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "MSKOFF_DAT_TH",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 18,
+ "description": "",
+ "name": "MSKOFF_DAT_AC",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_CNTL",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002608": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "ADR_2CK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "ADR_MUX",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "ADR_DF1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "AP8",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "DAT_DF1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "DQS_DF1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "DQM_DF1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "DQM_ACT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "STB_CNT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "CKE_DYN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "CKE_ACT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 14,
+ "bl": 14,
+ "description": "",
+ "name": "BO4",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 15,
+ "description": "",
+ "name": "DLL_CLR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "DLL_CNT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "DAT_INV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "INV_ACM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 26,
+ "description": "",
+ "name": "ODT_ENB",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 27,
+ "bl": 27,
+ "description": "",
+ "name": "ODT_ACT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "RST_CTL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 29,
+ "bl": 29,
+ "description": "",
+ "name": "TRI_MIO_DYN",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_DRAM",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x0000260C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 4,
+ "description": "",
+ "name": "TRCDW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 9,
+ "description": "",
+ "name": "TRCDWA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 14,
+ "description": "",
+ "name": "TRCDR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 19,
+ "description": "",
+ "name": "TRCDRA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 23,
+ "description": "",
+ "name": "TRRD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 30,
+ "description": "",
+ "name": "TRC",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_RAS_TIMING_P",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002610": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "TNOPW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 3,
+ "description": "",
+ "name": "TNOPR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 8,
+ "description": "",
+ "name": "TR2W",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "TR2R",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 20,
+ "description": "",
+ "name": "TW2R",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 28,
+ "description": "",
+ "name": "TCL",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_CAS_TIMING_P",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002614": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 5,
+ "description": "",
+ "name": "TRP_WRA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 7,
+ "description": "",
+ "name": "TCKE_HI",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 13,
+ "description": "",
+ "name": "TRP_RDA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "TRP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 26,
+ "description": "",
+ "name": "TRFC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 31,
+ "description": "",
+ "name": "TCKE",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_MISC_TIMING_P",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002618": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "PA2RDATA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 6,
+ "description": "",
+ "name": "PA2WDATA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 12,
+ "description": "",
+ "name": "FAW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "TCKE_PULSE",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_MISC_TIMING2_P",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x0000261C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 4,
+ "description": "",
+ "name": "TRCDW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 9,
+ "description": "",
+ "name": "TRCDWA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 14,
+ "description": "",
+ "name": "TRCDR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 19,
+ "description": "",
+ "name": "TRCDRA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 23,
+ "description": "",
+ "name": "TRRD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 30,
+ "description": "",
+ "name": "TRC",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_RAS_TIMING_B",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002620": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "TNOPW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 3,
+ "description": "",
+ "name": "TNOPR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 8,
+ "description": "",
+ "name": "TR2W",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "TR2R",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 20,
+ "description": "",
+ "name": "TW2R",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 28,
+ "description": "",
+ "name": "TCL",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_CAS_TIMING_B",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002624": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 5,
+ "description": "",
+ "name": "TRP_WRA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 7,
+ "description": "",
+ "name": "TCKE_HI",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 13,
+ "description": "",
+ "name": "TRP_RDA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "TRP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 26,
+ "description": "",
+ "name": "TRFC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 31,
+ "description": "",
+ "name": "TCKE",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_MISC_TIMING_B",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002628": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "PA2RDATA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 6,
+ "description": "",
+ "name": "PA2WDATA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 12,
+ "description": "",
+ "name": "FAW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "TCKE_PULSE",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_MISC_TIMING2_B",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x0000262C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 4,
+ "description": "",
+ "name": "TRCDW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 9,
+ "description": "",
+ "name": "TRCDWA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 14,
+ "description": "",
+ "name": "TRCDR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 19,
+ "description": "",
+ "name": "TRCDRA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 23,
+ "description": "",
+ "name": "TRRD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 30,
+ "description": "",
+ "name": "TRC",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_RAS_TIMING_S",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002630": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "TNOPW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 3,
+ "description": "",
+ "name": "TNOPR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 8,
+ "description": "",
+ "name": "TR2W",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "TR2R",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 20,
+ "description": "",
+ "name": "TW2R",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 28,
+ "description": "",
+ "name": "TCL",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_CAS_TIMING_S",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002634": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 5,
+ "description": "",
+ "name": "TRP_WRA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 7,
+ "description": "",
+ "name": "TCKE_HI",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 13,
+ "description": "",
+ "name": "TRP_RDA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "TRP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 26,
+ "description": "",
+ "name": "TRFC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 31,
+ "description": "",
+ "name": "TCKE",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_MISC_TIMING_S",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002638": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "PA2RDATA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 6,
+ "description": "",
+ "name": "PA2WDATA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 12,
+ "description": "",
+ "name": "FAW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "TCKE_PULSE",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_MISC_TIMING2_S",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x0000263C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 4,
+ "description": "",
+ "name": "TRCDW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 9,
+ "description": "",
+ "name": "TRCDWA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 14,
+ "description": "",
+ "name": "TRCDR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 19,
+ "description": "",
+ "name": "TRCDRA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 23,
+ "description": "",
+ "name": "TRRD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 30,
+ "description": "",
+ "name": "TRC",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_RAS_TIMING_C",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002640": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "TNOPW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 3,
+ "description": "",
+ "name": "TNOPR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 8,
+ "description": "",
+ "name": "TR2W",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "TR2R",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 20,
+ "description": "",
+ "name": "TW2R",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 28,
+ "description": "",
+ "name": "TCL",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_CAS_TIMING_C",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002644": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 5,
+ "description": "",
+ "name": "TRP_WRA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 7,
+ "description": "",
+ "name": "TCKE_HI",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 13,
+ "description": "",
+ "name": "TRP_RDA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "TRP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 26,
+ "description": "",
+ "name": "TRFC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 31,
+ "description": "",
+ "name": "TCKE",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_MISC_TIMING_C",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002648": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "PA2RDATA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 6,
+ "description": "",
+ "name": "PA2WDATA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 12,
+ "description": "",
+ "name": "FAW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "TCKE_PULSE",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_MISC_TIMING2_C",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x0000264C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "RCV_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "RCV_EXT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 9,
+ "description": "",
+ "name": "RST_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "RST_HLD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "STR_PRE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "STR_PST",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 24,
+ "description": "",
+ "name": "RBS_DLY",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_RD_CTL_D0_P",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002650": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "RCV_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "RCV_EXT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 9,
+ "description": "",
+ "name": "RST_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "RST_HLD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "STR_PRE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "STR_PST",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 24,
+ "description": "",
+ "name": "RBS_DLY",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_RD_CTL_D1_P",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002654": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "DAT_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "DQS_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DQS_XTR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "OEN_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "OEN_EXT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 21,
+ "description": "",
+ "name": "OEN_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 27,
+ "description": "",
+ "name": "ODT_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "ODT_EXT",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_WR_CTL_D0_P",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002658": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "DAT_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "DQS_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DQS_XTR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "OEN_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "OEN_EXT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 21,
+ "description": "",
+ "name": "OEN_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 27,
+ "description": "",
+ "name": "ODT_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "ODT_EXT",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_WR_CTL_D1_P",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x0000265C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "ADR_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "CMD_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "CKN_TRI",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "CKP_TRI",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "MIO_TRI",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "CKE_BIT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "CKE_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "STRD2",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_IO_CTL_D0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002660": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "ADR_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "CMD_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "CKN_TRI",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "CKP_TRI",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "MIO_TRI",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "CKE_BIT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "CKE_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "STRD2",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_IO_CTL_D1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002664": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "LD_INIT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 5,
+ "description": "",
+ "name": "SYC_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 31,
+ "description": "",
+ "name": "SYC_IDLE_CNT",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_NPL_CTL_D0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002668": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "LD_INIT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 5,
+ "description": "",
+ "name": "SYC_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 31,
+ "description": "",
+ "name": "SYC_IDLE_CNT",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_NPL_CTL_D1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x0000266C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "NMOS_PD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "PSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "NSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "USE_CAL_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "LOAD_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "PSTR_OFF_V",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 23,
+ "description": "",
+ "name": "NSTR_OFF_V",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_CK_PAD_CNTL_D0_I0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002670": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "NMOS_PD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "PSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "NSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "USE_CAL_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "LOAD_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "PSTR_OFF_V",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 23,
+ "description": "",
+ "name": "NSTR_OFF_V",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_CK_PAD_CNTL_D0_I1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002674": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "NMOS_PD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "PSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "NSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "USE_CAL_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "LOAD_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "PSTR_OFF_V",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 23,
+ "description": "",
+ "name": "NSTR_OFF_V",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_CMD_PAD_CNTL_D0_I0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002678": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "NMOS_PD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "PSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "NSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "USE_CAL_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "LOAD_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "PSTR_OFF_V",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 23,
+ "description": "",
+ "name": "NSTR_OFF_V",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_CMD_PAD_CNTL_D0_I1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x0000267C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "NMOS_PD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "PSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "NSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "USE_CAL_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "LOAD_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "PSTR_OFF_V",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 23,
+ "description": "",
+ "name": "NSTR_OFF_V",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_DQ_PAD_CNTL_D0_I0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002680": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "NMOS_PD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "PSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "NSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "USE_CAL_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "LOAD_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "PSTR_OFF_V",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 23,
+ "description": "",
+ "name": "NSTR_OFF_V",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_DQ_PAD_CNTL_D0_I1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002684": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "NMOS_PD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "PSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "NSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "USE_CAL_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "LOAD_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "PSTR_OFF_V",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 23,
+ "description": "",
+ "name": "NSTR_OFF_V",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_QS_PAD_CNTL_D0_I0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002688": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "NMOS_PD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "PSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "NSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "USE_CAL_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "LOAD_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "PSTR_OFF_V",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 23,
+ "description": "",
+ "name": "NSTR_OFF_V",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_QS_PAD_CNTL_D0_I1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x0000268C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "NMOS_PD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "PSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "NSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "USE_CAL_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "LOAD_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "PSTR_OFF_V",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 23,
+ "description": "",
+ "name": "NSTR_OFF_V",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_A_PAD_CNTL_D0_I0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002690": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "NMOS_PD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "PSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "NSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "USE_CAL_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "LOAD_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "PSTR_OFF_V",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 23,
+ "description": "",
+ "name": "NSTR_OFF_V",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_A_PAD_CNTL_D0_I1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002694": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "RCV_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "RCV_EXT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 9,
+ "description": "",
+ "name": "RST_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "RST_HLD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "STR_PRE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "STR_PST",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 24,
+ "description": "",
+ "name": "RBS_DLY",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_RD_CTL_D0_B",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002698": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "RCV_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "RCV_EXT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 9,
+ "description": "",
+ "name": "RST_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "RST_HLD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "STR_PRE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "STR_PST",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 24,
+ "description": "",
+ "name": "RBS_DLY",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_RD_CTL_D1_B",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x0000269C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "DAT_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "DQS_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DQS_XTR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "OEN_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "OEN_EXT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 21,
+ "description": "",
+ "name": "OEN_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 27,
+ "description": "",
+ "name": "ODT_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "ODT_EXT",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_WR_CTL_D0_B",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000026A0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "DAT_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "DQS_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DQS_XTR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "OEN_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "OEN_EXT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 21,
+ "description": "",
+ "name": "OEN_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 27,
+ "description": "",
+ "name": "ODT_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "ODT_EXT",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_WR_CTL_D1_B",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000026A4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "RCV_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "RCV_EXT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 9,
+ "description": "",
+ "name": "RST_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "RST_HLD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "STR_PRE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "STR_PST",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 24,
+ "description": "",
+ "name": "RBS_DLY",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_RD_CTL_D0_S",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000026A8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "RCV_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "RCV_EXT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 9,
+ "description": "",
+ "name": "RST_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "RST_HLD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "STR_PRE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "STR_PST",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 24,
+ "description": "",
+ "name": "RBS_DLY",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_RD_CTL_D1_S",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000026AC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "DAT_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "DQS_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DQS_XTR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "OEN_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "OEN_EXT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 21,
+ "description": "",
+ "name": "OEN_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 27,
+ "description": "",
+ "name": "ODT_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "ODT_EXT",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_WR_CTL_D0_S",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000026B0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "DAT_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "DQS_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DQS_XTR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "OEN_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "OEN_EXT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 21,
+ "description": "",
+ "name": "OEN_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 27,
+ "description": "",
+ "name": "ODT_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "ODT_EXT",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_WR_CTL_D1_S",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000026B4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "RCV_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "RCV_EXT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 9,
+ "description": "",
+ "name": "RST_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "RST_HLD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "STR_PRE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "STR_PST",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 24,
+ "description": "",
+ "name": "RBS_DLY",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_RD_CTL_D0_C",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000026B8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "RCV_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "RCV_EXT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 9,
+ "description": "",
+ "name": "RST_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "RST_HLD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "STR_PRE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "STR_PST",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 24,
+ "description": "",
+ "name": "RBS_DLY",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_RD_CTL_D1_C",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000026BC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "DAT_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "DQS_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DQS_XTR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "OEN_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "OEN_EXT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 21,
+ "description": "",
+ "name": "OEN_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 27,
+ "description": "",
+ "name": "ODT_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "ODT_EXT",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_WR_CTL_D0_C",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000026C0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "DAT_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "DQS_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DQS_XTR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "OEN_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "OEN_EXT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 21,
+ "description": "",
+ "name": "OEN_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 27,
+ "description": "",
+ "name": "ODT_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "ODT_EXT",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_WR_CTL_D1_C",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000026C4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "ADR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 18,
+ "description": "",
+ "name": "MOP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "END",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 22,
+ "description": "",
+ "name": "CSB",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "CHAN0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "CHAN1",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_CMD",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000026C8": {
+ "field": [],
+ "name": "MC_SEQ_STATUS_M",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000026CC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "ADR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 18,
+ "description": "",
+ "name": "MOP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "END",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 22,
+ "description": "",
+ "name": "CSB",
+ "value": []
+ }
+ ],
+ "name": "MC_PMG_CMD",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000026D0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "SYC_CLK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "RST_DLL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "TRI_MIO",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "XSR_TMR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "AUTO_SLF",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "AUTO_SLF_IDLE_CNT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "SLF_IDLE_CNT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "WRITE_DURING_DLOCK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 21,
+ "description": "",
+ "name": "EARLY_ACK_DYN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 22,
+ "description": "",
+ "name": "EARLY_ACK_ACPI",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "UNUSED_SEQ_SHUTDOWN",
+ "value": []
+ }
+ ],
+ "name": "MC_PMG_CFG",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000026D4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 4,
+ "description": "",
+ "name": "MEM_IO_UPDATE_RATE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "MEM_IO_PMCOMP_STRD2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 12,
+ "description": "",
+ "name": "MEM_IO_SAMPLE_DELAY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 15,
+ "description": "",
+ "name": "MEM_IO_SAMPLE_CNT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 20,
+ "description": "",
+ "name": "MEM_IO_INC_THRESHOLD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 28,
+ "description": "",
+ "name": "MEM_IO_DEC_THRESHOLD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 29,
+ "bl": 29,
+ "description": "",
+ "name": "CAL_WHEN_IDLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 30,
+ "bl": 30,
+ "description": "",
+ "name": "CAL_WHEN_REFRESH",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "MEM_IMP_EN",
+ "value": []
+ }
+ ],
+ "name": "MC_IMP_CNTL",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000026D8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "MODE_32BIT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "DUAL_IO",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "MODE_16BIT",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_GENERAL_CONFIG",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000026DC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "RRDREQ_LCL_CREDIT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 9,
+ "description": "",
+ "name": "XBF_HWM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "DAT_INV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "MSK_DFI",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "RRDREQ_RETURN_PEND",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 23,
+ "description": "",
+ "name": "RRDREQ_RS_CREDIT",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_RS_CNTL",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000026E8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "SEL0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 5,
+ "description": "",
+ "name": "SEL1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 8,
+ "description": "",
+ "name": "SEL2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 11,
+ "description": "",
+ "name": "SEL3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 14,
+ "description": "",
+ "name": "SEL4",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 17,
+ "description": "",
+ "name": "SEL5",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 20,
+ "description": "",
+ "name": "SEL6",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 23,
+ "description": "",
+ "name": "SEL7",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 26,
+ "description": "",
+ "name": "SELM",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_RD_STR_NCNTL_B0_D0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000026F8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "SEL0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 5,
+ "description": "",
+ "name": "SEL1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 8,
+ "description": "",
+ "name": "SEL2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 11,
+ "description": "",
+ "name": "SEL3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 14,
+ "description": "",
+ "name": "SEL4",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 17,
+ "description": "",
+ "name": "SEL5",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 20,
+ "description": "",
+ "name": "SEL6",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 23,
+ "description": "",
+ "name": "SEL7",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 26,
+ "description": "",
+ "name": "SELM",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_RD_STR_NCNTL_B2_D0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002700": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "VREFI_VCO_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "IMP_VREF_INTR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 3,
+ "description": "",
+ "name": "IMP_VREF_INTN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 5,
+ "description": "",
+ "name": "IMP_VREF_INTP",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_PAD_CNTL",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002704": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DELAY_DATA_SYNC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "DELAY_STR_SYNC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "DELAY_CLK_SYNC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "DELAY_CMD_SYNC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "DELAY_ADR_SYNC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "MEM_FALL_OUT_DATA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "MEM_FALL_OUT_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "MEM_FALL_OUT_CLK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "MEM_FALL_OUT_CMD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "MEM_FALL_OUT_ADR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 10,
+ "description": "",
+ "name": "FORCE_EN_RD_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 11,
+ "bl": 11,
+ "description": "",
+ "name": "EN_RD_STR_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "DISABLE_CMD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "DISABLE_ADR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 14,
+ "bl": 14,
+ "description": "",
+ "name": "VREFI_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 19,
+ "description": "",
+ "name": "VREFI_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "CK_AUTO_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 21,
+ "description": "",
+ "name": "CK_DELAY_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 23,
+ "description": "",
+ "name": "CK_DELAY_N",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 25,
+ "description": "",
+ "name": "CK_DELAY_P",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_PAD_CNTL_D0_I0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002708": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DELAY_DATA_SYNC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "DELAY_STR_SYNC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "DELAY_CLK_SYNC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "DELAY_CMD_SYNC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "DELAY_ADR_SYNC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "MEM_FALL_OUT_DATA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "MEM_FALL_OUT_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "MEM_FALL_OUT_CLK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "MEM_FALL_OUT_CMD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "MEM_FALL_OUT_ADR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 10,
+ "description": "",
+ "name": "FORCE_EN_RD_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 11,
+ "bl": 11,
+ "description": "",
+ "name": "EN_RD_STR_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "DISABLE_CMD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "DISABLE_ADR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 14,
+ "bl": 14,
+ "description": "",
+ "name": "VREFI_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 19,
+ "description": "",
+ "name": "VREFI_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "CK_AUTO_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 21,
+ "description": "",
+ "name": "CK_DELAY_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 23,
+ "description": "",
+ "name": "CK_DELAY_N",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 25,
+ "description": "",
+ "name": "CK_DELAY_P",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_PAD_CNTL_D0_I1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002710": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "MADJ0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "MADJ1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "MADJ2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 31,
+ "description": "",
+ "name": "MADJ3",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_RD_DQ_CNTL_D0_I0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002714": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "MADJ0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "MADJ1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "MADJ2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 31,
+ "description": "",
+ "name": "MADJ3",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_RD_DQ_CNTL_D0_I1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002718": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "DLY0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "DLY1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "DLY2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 31,
+ "description": "",
+ "name": "DLY3",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_RD_QS_CNTL_D0_I0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x0000271C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "DLY0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "DLY1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "DLY2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 31,
+ "description": "",
+ "name": "DLY3",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_RD_QS_CNTL_D0_I1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002720": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "DLY0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "DLY1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "DLY2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 31,
+ "description": "",
+ "name": "DLY3",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_RD_QS2_CNTL_D0_I0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002724": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "DLY0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "DLY1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "DLY2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 31,
+ "description": "",
+ "name": "DLY3",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_RD_QS2_CNTL_D0_I1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002728": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "CK_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 5,
+ "description": "",
+ "name": "CMD_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 8,
+ "description": "",
+ "name": "ADR_DLY",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_WR_CNTL_D0_I0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x0000272C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "CK_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 5,
+ "description": "",
+ "name": "CMD_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 8,
+ "description": "",
+ "name": "ADR_DLY",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_WR_CNTL_D0_I1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002730": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "PTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "NTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "PDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "NDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "RECV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 19,
+ "description": "",
+ "name": "DRV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 21,
+ "description": "",
+ "name": "PREAMP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 22,
+ "description": "",
+ "name": "SELFTIME",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 23,
+ "bl": 24,
+ "description": "",
+ "name": "SLEW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "VMODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 27,
+ "description": "",
+ "name": "VREF_INT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "VREF_INTR",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_CK_PAD_CNTL_D0_I0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002734": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "PTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "NTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "PDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "NDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "RECV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 19,
+ "description": "",
+ "name": "DRV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 21,
+ "description": "",
+ "name": "PREAMP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 22,
+ "description": "",
+ "name": "SELFTIME",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 23,
+ "bl": 24,
+ "description": "",
+ "name": "SLEW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "VMODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 27,
+ "description": "",
+ "name": "VREF_INT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "VREF_INTR",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_CK_PAD_CNTL_D0_I1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002738": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "PTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "NTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "PDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "NDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "RECV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 19,
+ "description": "",
+ "name": "DRV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 21,
+ "description": "",
+ "name": "PREAMP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 22,
+ "description": "",
+ "name": "SELFTIME",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 23,
+ "bl": 24,
+ "description": "",
+ "name": "SLEW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "VMODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 27,
+ "description": "",
+ "name": "VREF_INT",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_CMD_PAD_CNTL_D0_I0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x0000273C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "PTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "NTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "PDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "NDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "RECV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 19,
+ "description": "",
+ "name": "DRV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 21,
+ "description": "",
+ "name": "PREAMP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 22,
+ "description": "",
+ "name": "SELFTIME",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 23,
+ "bl": 24,
+ "description": "",
+ "name": "SLEW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "VMODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 27,
+ "description": "",
+ "name": "VREF_INT",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_CMD_PAD_CNTL_D0_I1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002740": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "PTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "NTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "PDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "NDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "RECV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 19,
+ "description": "",
+ "name": "DRV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 21,
+ "description": "",
+ "name": "PREAMP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 22,
+ "description": "",
+ "name": "SELFTIME",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 23,
+ "bl": 24,
+ "description": "",
+ "name": "SLEW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "VMODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 27,
+ "description": "",
+ "name": "VREF_INT",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_DQ_PAD_CNTL_D0_I0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002744": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "PTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "NTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "PDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "NDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "RECV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 19,
+ "description": "",
+ "name": "DRV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 21,
+ "description": "",
+ "name": "PREAMP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 22,
+ "description": "",
+ "name": "SELFTIME",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 23,
+ "bl": 24,
+ "description": "",
+ "name": "SLEW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "VMODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 27,
+ "description": "",
+ "name": "VREF_INT",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_DQ_PAD_CNTL_D0_I1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002748": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "PTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "NTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "PDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "NDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "RECV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 19,
+ "description": "",
+ "name": "DRV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 21,
+ "description": "",
+ "name": "PREAMP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 22,
+ "description": "",
+ "name": "SELFTIME",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 23,
+ "bl": 24,
+ "description": "",
+ "name": "SLEW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "VMODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 27,
+ "description": "",
+ "name": "VREF_INT",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_QS_PAD_CNTL_D0_I0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x0000274C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "PTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "NTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "PDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "NDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "RECV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 19,
+ "description": "",
+ "name": "DRV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 21,
+ "description": "",
+ "name": "PREAMP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 22,
+ "description": "",
+ "name": "SELFTIME",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 23,
+ "bl": 24,
+ "description": "",
+ "name": "SLEW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "VMODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 27,
+ "description": "",
+ "name": "VREF_INT",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_QS_PAD_CNTL_D0_I1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002750": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "PTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "NTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "PDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "NDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "RECV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 19,
+ "description": "",
+ "name": "DRV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 21,
+ "description": "",
+ "name": "PREAMP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 22,
+ "description": "",
+ "name": "SELFTIME",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 23,
+ "bl": 24,
+ "description": "",
+ "name": "SLEW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "VMODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 27,
+ "description": "",
+ "name": "VREF_INT",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_A_PAD_CNTL_D0_I0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002754": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "PTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "NTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "PDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "NDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "RECV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 19,
+ "description": "",
+ "name": "DRV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 21,
+ "description": "",
+ "name": "PREAMP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 22,
+ "description": "",
+ "name": "SELFTIME",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 23,
+ "bl": 24,
+ "description": "",
+ "name": "SLEW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "VMODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 27,
+ "description": "",
+ "name": "VREF_INT",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_A_PAD_CNTL_D0_I1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002758": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "DLY0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 5,
+ "description": "",
+ "name": "DLY1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 8,
+ "description": "",
+ "name": "DLY2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 11,
+ "description": "",
+ "name": "DLY3",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_WR_DQ_CNTL_D0_I0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x0000275C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "DLY0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 5,
+ "description": "",
+ "name": "DLY1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 8,
+ "description": "",
+ "name": "DLY2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 11,
+ "description": "",
+ "name": "DLY3",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_WR_DQ_CNTL_D0_I1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002760": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "DLY0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 5,
+ "description": "",
+ "name": "DLY1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 8,
+ "description": "",
+ "name": "DLY2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 11,
+ "description": "",
+ "name": "DLY3",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_WR_QS_CNTL_D0_I0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002764": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "DLY0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 5,
+ "description": "",
+ "name": "DLY1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 8,
+ "description": "",
+ "name": "DLY2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 11,
+ "description": "",
+ "name": "DLY3",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_WR_QS_CNTL_D0_I1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002768": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "NMOS_PD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "PSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "NSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "USE_CAL_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "LOAD_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "PSTR_OFF_V",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 23,
+ "description": "",
+ "name": "NSTR_OFF_V",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_CK_PAD_CNTL_D1_I0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x0000276C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "NMOS_PD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "PSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "NSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "USE_CAL_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "LOAD_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "PSTR_OFF_V",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 23,
+ "description": "",
+ "name": "NSTR_OFF_V",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_CK_PAD_CNTL_D1_I1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002770": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "NMOS_PD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "PSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "NSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "USE_CAL_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "LOAD_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "PSTR_OFF_V",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 23,
+ "description": "",
+ "name": "NSTR_OFF_V",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_CMD_PAD_CNTL_D1_I0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002774": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "NMOS_PD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "PSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "NSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "USE_CAL_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "LOAD_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "PSTR_OFF_V",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 23,
+ "description": "",
+ "name": "NSTR_OFF_V",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_CMD_PAD_CNTL_D1_I1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002778": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "NMOS_PD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "PSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "NSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "USE_CAL_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "LOAD_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "PSTR_OFF_V",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 23,
+ "description": "",
+ "name": "NSTR_OFF_V",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_DQ_PAD_CNTL_D1_I0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x0000277C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "NMOS_PD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "PSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "NSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "USE_CAL_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "LOAD_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "PSTR_OFF_V",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 23,
+ "description": "",
+ "name": "NSTR_OFF_V",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_DQ_PAD_CNTL_D1_I1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002780": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "NMOS_PD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "PSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "NSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "USE_CAL_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "LOAD_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "PSTR_OFF_V",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 23,
+ "description": "",
+ "name": "NSTR_OFF_V",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_QS_PAD_CNTL_D1_I0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002784": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "NMOS_PD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "PSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "NSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "USE_CAL_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "LOAD_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "PSTR_OFF_V",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 23,
+ "description": "",
+ "name": "NSTR_OFF_V",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_QS_PAD_CNTL_D1_I1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002788": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "NMOS_PD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "PSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "NSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "USE_CAL_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "LOAD_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "PSTR_OFF_V",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 23,
+ "description": "",
+ "name": "NSTR_OFF_V",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_A_PAD_CNTL_D1_I0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x0000278C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "NMOS_PD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "PSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "NSTR_OFF_H",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "USE_CAL_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "LOAD_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "PSTR_OFF_V",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 23,
+ "description": "",
+ "name": "NSTR_OFF_V",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_A_PAD_CNTL_D1_I1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002790": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DELAY_DATA_SYNC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "DELAY_STR_SYNC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "DELAY_CLK_SYNC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "DELAY_CMD_SYNC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "DELAY_ADR_SYNC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "MEM_FALL_OUT_DATA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "MEM_FALL_OUT_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "MEM_FALL_OUT_CLK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "MEM_FALL_OUT_CMD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "MEM_FALL_OUT_ADR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 10,
+ "description": "",
+ "name": "FORCE_EN_RD_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 11,
+ "bl": 11,
+ "description": "",
+ "name": "EN_RD_STR_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "DISABLE_CMD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "DISABLE_ADR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 14,
+ "bl": 14,
+ "description": "",
+ "name": "VREFI_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 19,
+ "description": "",
+ "name": "VREFI_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "CK_AUTO_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 21,
+ "description": "",
+ "name": "CK_DELAY_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 23,
+ "description": "",
+ "name": "CK_DELAY_N",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 25,
+ "description": "",
+ "name": "CK_DELAY_P",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_PAD_CNTL_D1_I0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002794": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DELAY_DATA_SYNC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "DELAY_STR_SYNC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "DELAY_CLK_SYNC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "DELAY_CMD_SYNC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "DELAY_ADR_SYNC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "MEM_FALL_OUT_DATA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "MEM_FALL_OUT_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "MEM_FALL_OUT_CLK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "MEM_FALL_OUT_CMD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "MEM_FALL_OUT_ADR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 10,
+ "description": "",
+ "name": "FORCE_EN_RD_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 11,
+ "bl": 11,
+ "description": "",
+ "name": "EN_RD_STR_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "DISABLE_CMD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "DISABLE_ADR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 14,
+ "bl": 14,
+ "description": "",
+ "name": "VREFI_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 19,
+ "description": "",
+ "name": "VREFI_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "CK_AUTO_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 21,
+ "description": "",
+ "name": "CK_DELAY_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 23,
+ "description": "",
+ "name": "CK_DELAY_N",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 25,
+ "description": "",
+ "name": "CK_DELAY_P",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_PAD_CNTL_D1_I1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002798": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "MADJ0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "MADJ1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "MADJ2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 31,
+ "description": "",
+ "name": "MADJ3",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_RD_DQ_CNTL_D1_I0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x0000279C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "MADJ0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "MADJ1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "MADJ2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 31,
+ "description": "",
+ "name": "MADJ3",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_RD_DQ_CNTL_D1_I1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000027A0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "DLY0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "DLY1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "DLY2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 31,
+ "description": "",
+ "name": "DLY3",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_RD_QS_CNTL_D1_I0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000027A4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "DLY0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "DLY1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "DLY2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 31,
+ "description": "",
+ "name": "DLY3",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_RD_QS_CNTL_D1_I1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000027A8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "DLY0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "DLY1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "DLY2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 31,
+ "description": "",
+ "name": "DLY3",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_RD_QS2_CNTL_D1_I0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000027AC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "DLY0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "DLY1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "DLY2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 31,
+ "description": "",
+ "name": "DLY3",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_RD_QS2_CNTL_D1_I1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000027B0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "CK_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 5,
+ "description": "",
+ "name": "CMD_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 8,
+ "description": "",
+ "name": "ADR_DLY",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_WR_CNTL_D1_I0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000027B4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "CK_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 5,
+ "description": "",
+ "name": "CMD_DLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 8,
+ "description": "",
+ "name": "ADR_DLY",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_WR_CNTL_D1_I1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000027B8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "PTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "NTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "PDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "NDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "RECV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 19,
+ "description": "",
+ "name": "DRV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 21,
+ "description": "",
+ "name": "PREAMP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 22,
+ "description": "",
+ "name": "SELFTIME",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 23,
+ "bl": 24,
+ "description": "",
+ "name": "SLEW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "VMODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 27,
+ "description": "",
+ "name": "VREF_INT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "VREF_INTR",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_CK_PAD_CNTL_D1_I0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000027BC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "PTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "NTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "PDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "NDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "RECV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 19,
+ "description": "",
+ "name": "DRV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 21,
+ "description": "",
+ "name": "PREAMP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 22,
+ "description": "",
+ "name": "SELFTIME",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 23,
+ "bl": 24,
+ "description": "",
+ "name": "SLEW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "VMODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 27,
+ "description": "",
+ "name": "VREF_INT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "VREF_INTR",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_CK_PAD_CNTL_D1_I1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000027C0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "PTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "NTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "PDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "NDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "RECV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 19,
+ "description": "",
+ "name": "DRV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 21,
+ "description": "",
+ "name": "PREAMP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 22,
+ "description": "",
+ "name": "SELFTIME",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 23,
+ "bl": 24,
+ "description": "",
+ "name": "SLEW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "VMODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 27,
+ "description": "",
+ "name": "VREF_INT",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_CMD_PAD_CNTL_D1_I0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000027C4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "PTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "NTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "PDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "NDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "RECV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 19,
+ "description": "",
+ "name": "DRV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 21,
+ "description": "",
+ "name": "PREAMP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 22,
+ "description": "",
+ "name": "SELFTIME",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 23,
+ "bl": 24,
+ "description": "",
+ "name": "SLEW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "VMODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 27,
+ "description": "",
+ "name": "VREF_INT",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_CMD_PAD_CNTL_D1_I1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000027C8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "PTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "NTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "PDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "NDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "RECV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 19,
+ "description": "",
+ "name": "DRV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 21,
+ "description": "",
+ "name": "PREAMP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 22,
+ "description": "",
+ "name": "SELFTIME",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 23,
+ "bl": 24,
+ "description": "",
+ "name": "SLEW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "VMODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 27,
+ "description": "",
+ "name": "VREF_INT",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_DQ_PAD_CNTL_D1_I0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000027CC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "PTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "NTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "PDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "NDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "RECV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 19,
+ "description": "",
+ "name": "DRV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 21,
+ "description": "",
+ "name": "PREAMP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 22,
+ "description": "",
+ "name": "SELFTIME",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 23,
+ "bl": 24,
+ "description": "",
+ "name": "SLEW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "VMODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 27,
+ "description": "",
+ "name": "VREF_INT",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_DQ_PAD_CNTL_D1_I1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000027D0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "PTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "NTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "PDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "NDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "RECV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 19,
+ "description": "",
+ "name": "DRV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 21,
+ "description": "",
+ "name": "PREAMP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 22,
+ "description": "",
+ "name": "SELFTIME",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 23,
+ "bl": 24,
+ "description": "",
+ "name": "SLEW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "VMODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 27,
+ "description": "",
+ "name": "VREF_INT",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_QS_PAD_CNTL_D1_I0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000027D4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "PTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "NTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "PDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "NDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "RECV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 19,
+ "description": "",
+ "name": "DRV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 21,
+ "description": "",
+ "name": "PREAMP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 22,
+ "description": "",
+ "name": "SELFTIME",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 23,
+ "bl": 24,
+ "description": "",
+ "name": "SLEW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "VMODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 27,
+ "description": "",
+ "name": "VREF_INT",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_QS_PAD_CNTL_D1_I1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000027D8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "PTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "NTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "PDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "NDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "RECV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 19,
+ "description": "",
+ "name": "DRV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 21,
+ "description": "",
+ "name": "PREAMP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 22,
+ "description": "",
+ "name": "SELFTIME",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 23,
+ "bl": 24,
+ "description": "",
+ "name": "SLEW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "VMODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 27,
+ "description": "",
+ "name": "VREF_INT",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_A_PAD_CNTL_D1_I0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000027DC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "PTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "NTERM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "PDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "NDRV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "RECV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 19,
+ "description": "",
+ "name": "DRV_DUTY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 21,
+ "description": "",
+ "name": "PREAMP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 22,
+ "description": "",
+ "name": "SELFTIME",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 23,
+ "bl": 24,
+ "description": "",
+ "name": "SLEW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "VMODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 27,
+ "description": "",
+ "name": "VREF_INT",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_A_PAD_CNTL_D1_I1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000027E0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "DLY0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 5,
+ "description": "",
+ "name": "DLY1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 8,
+ "description": "",
+ "name": "DLY2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 11,
+ "description": "",
+ "name": "DLY3",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_WR_DQ_CNTL_D1_I0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000027E4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "DLY0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 5,
+ "description": "",
+ "name": "DLY1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 8,
+ "description": "",
+ "name": "DLY2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 11,
+ "description": "",
+ "name": "DLY3",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_WR_DQ_CNTL_D1_I1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000027E8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "DLY0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 5,
+ "description": "",
+ "name": "DLY1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 8,
+ "description": "",
+ "name": "DLY2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 11,
+ "description": "",
+ "name": "DLY3",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_WR_QS_CNTL_D1_I0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000027EC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "DLY0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 5,
+ "description": "",
+ "name": "DLY1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 8,
+ "description": "",
+ "name": "DLY2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 11,
+ "description": "",
+ "name": "DLY3",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_WR_QS_CNTL_D1_I1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000027F0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "DELAY_MASTER_SYNC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "DIFF_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "UNI_STR",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_PAD_CNTL_D0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000027F4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "DELAY_MASTER_SYNC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "DIFF_STR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "UNI_STR",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_PAD_CNTL_D1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x000027F8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "SEL0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 5,
+ "description": "",
+ "name": "SEL1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 8,
+ "description": "",
+ "name": "SEL2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 11,
+ "description": "",
+ "name": "SEL3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 14,
+ "description": "",
+ "name": "SEL4",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 17,
+ "description": "",
+ "name": "SEL5",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 20,
+ "description": "",
+ "name": "SEL6",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 23,
+ "description": "",
+ "name": "SEL7",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 26,
+ "description": "",
+ "name": "SELM",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_RD_STR_NCNTL_B3_D0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002800": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "SEL0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 5,
+ "description": "",
+ "name": "SEL1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 8,
+ "description": "",
+ "name": "SEL2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 11,
+ "description": "",
+ "name": "SEL3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 14,
+ "description": "",
+ "name": "SEL4",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 17,
+ "description": "",
+ "name": "SEL5",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 20,
+ "description": "",
+ "name": "SEL6",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 23,
+ "description": "",
+ "name": "SEL7",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 26,
+ "description": "",
+ "name": "SELM",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_RD_STR_NCNTL_B4_D0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002808": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "SEL0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 5,
+ "description": "",
+ "name": "SEL1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 8,
+ "description": "",
+ "name": "SEL2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 11,
+ "description": "",
+ "name": "SEL3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 14,
+ "description": "",
+ "name": "SEL4",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 17,
+ "description": "",
+ "name": "SEL5",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 20,
+ "description": "",
+ "name": "SEL6",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 23,
+ "description": "",
+ "name": "SEL7",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 26,
+ "description": "",
+ "name": "SELM",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_RD_STR_NCNTL_B5_D0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x0000280C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "SEL0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 5,
+ "description": "",
+ "name": "SEL1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 8,
+ "description": "",
+ "name": "SEL2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 11,
+ "description": "",
+ "name": "SEL3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 14,
+ "description": "",
+ "name": "SEL4",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 17,
+ "description": "",
+ "name": "SEL5",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 20,
+ "description": "",
+ "name": "SEL6",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 23,
+ "description": "",
+ "name": "SEL7",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 26,
+ "description": "",
+ "name": "SELM",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_RD_STR_NCNTL_B1_D0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002810": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "SEL0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 5,
+ "description": "",
+ "name": "SEL1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 8,
+ "description": "",
+ "name": "SEL2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 11,
+ "description": "",
+ "name": "SEL3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 14,
+ "description": "",
+ "name": "SEL4",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 17,
+ "description": "",
+ "name": "SEL5",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 20,
+ "description": "",
+ "name": "SEL6",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 23,
+ "description": "",
+ "name": "SEL7",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 26,
+ "description": "",
+ "name": "SELM",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_RD_STR_NCNTL_B6_D0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002818": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "SEL0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 5,
+ "description": "",
+ "name": "SEL1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 8,
+ "description": "",
+ "name": "SEL2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 11,
+ "description": "",
+ "name": "SEL3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 14,
+ "description": "",
+ "name": "SEL4",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 17,
+ "description": "",
+ "name": "SEL5",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 20,
+ "description": "",
+ "name": "SEL6",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 23,
+ "description": "",
+ "name": "SEL7",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 26,
+ "description": "",
+ "name": "SELM",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_RD_STR_NCNTL_B7_D0",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002820": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "SEL0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 5,
+ "description": "",
+ "name": "SEL1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 8,
+ "description": "",
+ "name": "SEL2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 11,
+ "description": "",
+ "name": "SEL3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 14,
+ "description": "",
+ "name": "SEL4",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 17,
+ "description": "",
+ "name": "SEL5",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 20,
+ "description": "",
+ "name": "SEL6",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 23,
+ "description": "",
+ "name": "SEL7",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 26,
+ "description": "",
+ "name": "SELM",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_RD_STR_NCNTL_B0_D1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002828": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "SEL0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 5,
+ "description": "",
+ "name": "SEL1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 8,
+ "description": "",
+ "name": "SEL2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 11,
+ "description": "",
+ "name": "SEL3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 14,
+ "description": "",
+ "name": "SEL4",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 17,
+ "description": "",
+ "name": "SEL5",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 20,
+ "description": "",
+ "name": "SEL6",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 23,
+ "description": "",
+ "name": "SEL7",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 26,
+ "description": "",
+ "name": "SELM",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_RD_STR_NCNTL_B1_D1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002830": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "SEL0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 5,
+ "description": "",
+ "name": "SEL1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 8,
+ "description": "",
+ "name": "SEL2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 11,
+ "description": "",
+ "name": "SEL3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 14,
+ "description": "",
+ "name": "SEL4",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 17,
+ "description": "",
+ "name": "SEL5",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 20,
+ "description": "",
+ "name": "SEL6",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 23,
+ "description": "",
+ "name": "SEL7",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 26,
+ "description": "",
+ "name": "SELM",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_RD_STR_NCNTL_B2_D1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002838": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "SEL0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 5,
+ "description": "",
+ "name": "SEL1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 8,
+ "description": "",
+ "name": "SEL2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 11,
+ "description": "",
+ "name": "SEL3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 14,
+ "description": "",
+ "name": "SEL4",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 17,
+ "description": "",
+ "name": "SEL5",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 20,
+ "description": "",
+ "name": "SEL6",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 23,
+ "description": "",
+ "name": "SEL7",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 26,
+ "description": "",
+ "name": "SELM",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_RD_STR_NCNTL_B3_D1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002840": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "SEL0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 5,
+ "description": "",
+ "name": "SEL1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 8,
+ "description": "",
+ "name": "SEL2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 11,
+ "description": "",
+ "name": "SEL3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 14,
+ "description": "",
+ "name": "SEL4",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 17,
+ "description": "",
+ "name": "SEL5",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 20,
+ "description": "",
+ "name": "SEL6",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 23,
+ "description": "",
+ "name": "SEL7",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 26,
+ "description": "",
+ "name": "SELM",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_RD_STR_NCNTL_B4_D1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002848": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "SEL0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 5,
+ "description": "",
+ "name": "SEL1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 8,
+ "description": "",
+ "name": "SEL2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 11,
+ "description": "",
+ "name": "SEL3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 14,
+ "description": "",
+ "name": "SEL4",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 17,
+ "description": "",
+ "name": "SEL5",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 20,
+ "description": "",
+ "name": "SEL6",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 23,
+ "description": "",
+ "name": "SEL7",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 26,
+ "description": "",
+ "name": "SELM",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_RD_STR_NCNTL_B5_D1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002850": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "SEL0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 5,
+ "description": "",
+ "name": "SEL1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 8,
+ "description": "",
+ "name": "SEL2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 11,
+ "description": "",
+ "name": "SEL3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 14,
+ "description": "",
+ "name": "SEL4",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 17,
+ "description": "",
+ "name": "SEL5",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 20,
+ "description": "",
+ "name": "SEL6",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 23,
+ "description": "",
+ "name": "SEL7",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 26,
+ "description": "",
+ "name": "SELM",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_RD_STR_NCNTL_B6_D1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002858": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "SEL0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 5,
+ "description": "",
+ "name": "SEL1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 8,
+ "description": "",
+ "name": "SEL2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 11,
+ "description": "",
+ "name": "SEL3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 14,
+ "description": "",
+ "name": "SEL4",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 17,
+ "description": "",
+ "name": "SEL5",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 20,
+ "description": "",
+ "name": "SEL6",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 23,
+ "description": "",
+ "name": "SEL7",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 26,
+ "description": "",
+ "name": "SELM",
+ "value": []
+ }
+ ],
+ "name": "MC_IO_RD_STR_NCNTL_B7_D1",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002874": {
+ "field": [],
+ "name": "MC_IMP_STATUS",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002878": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "MEM_IMP_DEBUG_N",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "MEM_IMP_DEBUG_P",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "MEM_IO_IMP_DEBUG_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "MEM_STATUS_SEL",
+ "value": []
+ }
+ ],
+ "name": "MC_IMP_DEBUG",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002888": {
+ "field": [],
+ "name": "MC_NPL_STATUS",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x0000288C": {
+ "field": [],
+ "name": "MC_SEQ_STATUS_S",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002898": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "CKN_TRI",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "CKP_TRI",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "MIO_TRI",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "DAT_TRI",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "STRD2",
+ "value": []
+ }
+ ],
+ "name": "MC_SEQ_IO_CTL_UNUSED",
+ "size": 32,
+ "unit": [
+ "MCMISC"
+ ]
+ },
+ "0x00002C00": {
+ "field": [],
+ "name": "HDP_HOST_PATH_CNTL",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x00002C04": {
+ "field": [],
+ "name": "HDP_NONSURFACE_BASE",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x00002C08": {
+ "field": [],
+ "name": "HDP_NONSURFACE_INFO",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x00002C0C": {
+ "field": [],
+ "name": "HDP_NONSURFACE_SIZE",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x00002F3C": {
+ "field": [],
+ "name": "HDP_TILING_CONFIG",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x00003E00": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "IH_RB_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 5,
+ "description": "",
+ "name": "IH_IB_SIZE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "IH_RB_FULL_DRAIN_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "IH_WPTR_WRITEBACK_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 13,
+ "description": "",
+ "name": "IH_WPTR_WRITEBACK_TIMER",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "IH_WPTR_OVERFLOW_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "IH_WPTR_OVERFLOW_CLEAR",
+ "value": []
+ }
+ ],
+ "name": "IH_RB_CNTL",
+ "size": 32,
+ "unit": [
+ "IH"
+ ]
+ },
+ "0x00003E04": {
+ "field": [],
+ "name": "IH_RB_BASE",
+ "size": 32,
+ "unit": [
+ "IH"
+ ]
+ },
+ "0x00003E08": {
+ "field": [],
+ "name": "IH_RB_RPTR",
+ "size": 32,
+ "unit": [
+ "IH"
+ ]
+ },
+ "0x00003E0C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "RB_OVERFLOW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 17,
+ "description": "",
+ "name": "WPTR_OFFSET",
+ "value": []
+ }
+ ],
+ "name": "IH_RB_WPTR",
+ "size": 32,
+ "unit": [
+ "IH"
+ ]
+ },
+ "0x00003E10": {
+ "field": [],
+ "name": "IH_RB_WPTR_ADDR_HI",
+ "size": 32,
+ "unit": [
+ "IH"
+ ]
+ },
+ "0x00003E14": {
+ "field": [],
+ "name": "IH_RB_WPTR_ADDR_LO",
+ "size": 32,
+ "unit": [
+ "IH"
+ ]
+ },
+ "0x00003E18": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "ENABLE_INTR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 3,
+ "description": "",
+ "name": "IH_MC_SWAP",
+ "value": [
+ {
+ "description": "",
+ "name": "IH_MC_SWAP_NONE",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "IH_MC_SWAP_16BIT",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "IH_MC_SWAP_32BIT",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "IH_MC_SWAP_64BIT",
+ "value": 0
+ }
+ ]
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "RPTR_REARM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 19,
+ "description": "",
+ "name": "MC_WRREQ_CREDIT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 24,
+ "description": "",
+ "name": "MC_WR_CLEAN_CNT",
+ "value": []
+ }
+ ],
+ "name": "IH_CNTL",
+ "size": 32,
+ "unit": [
+ "IH"
+ ]
+ },
+ "0x00003F00": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "RLC_ENABLE",
+ "value": []
+ }
+ ],
+ "name": "RLC_CNTL",
+ "size": 32,
+ "unit": [
+ "IH"
+ ]
+ },
+ "0x00003F0C": {
+ "field": [],
+ "name": "RLC_HB_CNTL",
+ "size": 32,
+ "unit": [
+ "IH"
+ ]
+ },
+ "0x00003F10": {
+ "field": [],
+ "name": "RLC_HB_BASE",
+ "size": 32,
+ "unit": [
+ "IH"
+ ]
+ },
+ "0x00003F14": {
+ "field": [],
+ "name": "RLC_HB_WPTR_LSB_ADDR",
+ "size": 32,
+ "unit": [
+ "IH"
+ ]
+ },
+ "0x00003F18": {
+ "field": [],
+ "name": "RLC_HB_WPTR_MSB_ADDR",
+ "size": 32,
+ "unit": [
+ "IH"
+ ]
+ },
+ "0x00003F1C": {
+ "field": [],
+ "name": "RLC_HB_WPTR",
+ "size": 32,
+ "unit": [
+ "IH"
+ ]
+ },
+ "0x00003F20": {
+ "field": [],
+ "name": "RLC_HB_RPTR",
+ "size": 32,
+ "unit": [
+ "IH"
+ ]
+ },
+ "0x00003F2C": {
+ "field": [],
+ "name": "RLC_UCODE_ADDR",
+ "size": 32,
+ "unit": [
+ "IH"
+ ]
+ },
+ "0x00003F30": {
+ "field": [],
+ "name": "RLC_UCODE_DATA",
+ "size": 32,
+ "unit": [
+ "IH"
+ ]
+ },
+ "0x00003F44": {
+ "field": [],
+ "name": "RLC_MC_CNTL",
+ "size": 32,
+ "unit": [
+ "IH"
+ ]
+ },
+ "0x00003F48": {
+ "field": [],
+ "name": "RLC_UCODE_CNTL",
+ "size": 32,
+ "unit": [
+ "IH"
+ ]
+ },
+ "0x00005420": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "BIOS_ROM_WRT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "BIOS_ROM_DIS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "PMI_IO_DIS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "PMI_MEM_DIS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "PMI_BM_DIS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "PMI_INT_DIS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "VGA_REG_COHERENCY_DIS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "VGA_MEM_COHERENCY_DIS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "BIF_ERR_RTR_BKPRESSURE_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "VGA_COHE_SPEC_TIMER_DIS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 10,
+ "description": "",
+ "name": "ALLOW_TC_TO_PCIE",
+ "value": []
+ }
+ ],
+ "name": "BUS_CNTL",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x00005424": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "VGA_DIS",
+ "value": []
+ }
+ ],
+ "name": "CONFIG_CNTL",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x00005428": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "CONFIG_MEMSIZE",
+ "value": []
+ }
+ ],
+ "name": "CONFIG_MEMSIZE",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x0000542C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "F0_BASE",
+ "value": []
+ }
+ ],
+ "name": "CONFIG_F0_BASE",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x00005430": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "APER_SIZE",
+ "value": []
+ }
+ ],
+ "name": "CONFIG_APER_SIZE",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x00005434": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 19,
+ "description": "",
+ "name": "REG_APER_SIZE",
+ "value": []
+ }
+ ],
+ "name": "CONFIG_REG_APER_SIZE",
+ "size": 32,
+ "unit": [
+ "PCIE"
+ ]
+ },
+ "0x00005468": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "IH_DUMMY_RD_OVERRIDE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "IH_DUMMY_RD_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "IH_REQ_NONSNOOP_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "GEN_IH_INT_EN",
+ "value": []
+ }
+ ],
+ "name": "INTERRUPT_CNTL",
+ "size": 32,
+ "unit": [
+ "IH"
+ ]
+ },
+ "0x0000546C": {
+ "field": [],
+ "name": "INTERRUPT_CNTL2",
+ "size": 32,
+ "unit": [
+ "IH"
+ ]
+ },
+ "0x00005480": {
+ "field": [],
+ "name": "HDP_MEM_COHERENCY_FLUSH_CNTL",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x000054A0": {
+ "field": [],
+ "name": "HDP_REG_COHERENCY_FLUSH_CNTL",
+ "size": 32,
+ "unit": [
+ "MC"
+ ]
+ },
+ "0x00006000": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 12,
+ "description": "",
+ "name": "D1CRTC_H_TOTAL",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_H_TOTAL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006004": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 12,
+ "description": "",
+ "name": "D1CRTC_H_BLANK_START",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 28,
+ "description": "",
+ "name": "D1CRTC_H_BLANK_END",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_H_BLANK_START_END",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006008": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 12,
+ "description": "",
+ "name": "D1CRTC_H_SYNC_A_START",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 28,
+ "description": "",
+ "name": "D1CRTC_H_SYNC_A_END",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_H_SYNC_A",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000600C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D1CRTC_H_SYNC_A_POL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D1CRTC_COMP_SYNC_A_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "D1CRTC_H_SYNC_A_CUTOFF",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_H_SYNC_A_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006010": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 12,
+ "description": "",
+ "name": "D1CRTC_H_SYNC_B_START",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 28,
+ "description": "",
+ "name": "D1CRTC_H_SYNC_B_END",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_H_SYNC_B",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006014": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D1CRTC_H_SYNC_B_POL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D1CRTC_COMP_SYNC_B_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "D1CRTC_H_SYNC_B_CUTOFF",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_H_SYNC_B_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006020": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 12,
+ "description": "",
+ "name": "D1CRTC_V_TOTAL",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_V_TOTAL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006024": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 12,
+ "description": "",
+ "name": "D1CRTC_V_BLANK_START",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 28,
+ "description": "",
+ "name": "D1CRTC_V_BLANK_END",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_V_BLANK_START_END",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006028": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 12,
+ "description": "",
+ "name": "D1CRTC_V_SYNC_A_START",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 28,
+ "description": "",
+ "name": "D1CRTC_V_SYNC_A_END",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_V_SYNC_A",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000602C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D1CRTC_V_SYNC_A_POL",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_V_SYNC_A_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006030": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 12,
+ "description": "",
+ "name": "D1CRTC_V_SYNC_B_START",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 28,
+ "description": "",
+ "name": "D1CRTC_V_SYNC_B_END",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_V_SYNC_B",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006034": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D1CRTC_V_SYNC_B_POL",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_V_SYNC_B_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006038": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "MVP_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 6,
+ "description": "",
+ "name": "MVP_MIXER_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "MVP_MIXER_SLAVE_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "MVP_MIXER_SLAVE_SEL_DELAY_UNT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 10,
+ "description": "",
+ "name": "MVP_ARBITRATION_MODE_FOR_AFR_",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "MVP_RATE_CONTROL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "MVP_CHANNEL_CONTROL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 21,
+ "description": "",
+ "name": "MVP_GPU_CHAIN_LOCATION",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "MVP_DISABLE_MSB_EXPAND",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "MVP_30BPP_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 30,
+ "bl": 30,
+ "description": "",
+ "name": "MVP_TERMINATION_CNTL_A",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "MVP_TERMINATION_CNTL_B",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_MVP_CONTROL1",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000603C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "MVP_MUX_DE_DVOCNTL0_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "MVP_MUX_DE_DVOCNTL2_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "MVP_MUXA_CLK_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "MVP_MUXB_CLK_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "MVP_DVOCNTL_MUX",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "MVP_FLOW_CONTROL_OUT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "MVP_SWAP_LOCK_OUT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "MVP_SWAP_AB_IN_DC_DDR",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_MVP_CONTROL2",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006040": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "MVP_STOP_SLAVE_WM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "MVP_PAUSE_SLAVE_WM",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "MVP_PAUSE_SLAVE_CNT",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_MVP_FIFO_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006044": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "MVP_FIFO_OVERFLOW_OCCURRED",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "MVP_FIFO_OVERFLOW_ACK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "MVP_FIFO_UNDERFLOW_OCCURRED",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "MVP_FIFO_UNDERFLOW_ACK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 30,
+ "bl": 30,
+ "description": "",
+ "name": "MVP_FIFO_ERROR_MASK",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_MVP_FIFO_STATUS",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006048": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 12,
+ "description": "",
+ "name": "MVP_SLAVE_PIXELS_PER_LINE_RCVE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 28,
+ "description": "",
+ "name": "MVP_SLAVE_LINES_PER_FRAME_RCV",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_MVP_SLAVE_STATUS",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000604C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "MVP_IGNOR_INBAND_CNTL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "MVP_PASSING_INBAND_CNTL_EN",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_MVP_INBAND_CNTL_CAP",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006050": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "D1CRTC_MVP_INBAND_OUT_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 31,
+ "description": "",
+ "name": "D1CRTC_MVP_INBAND_CNTL_CHAR_I",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_MVP_INBAND_CNTL_INSERT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006058": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 9,
+ "description": "",
+ "name": "MVP_BLACK_KEYER_R",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 19,
+ "description": "",
+ "name": "MVP_BLACK_KEYER_G",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 29,
+ "description": "",
+ "name": "MVP_BLACK_KEYER_B",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_MVP_BLACK_KEYER",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000605C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "D1CRTC_AFR_HSYNC_SWITCH_DONE",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_MVP_STATUS",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006060": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "D1CRTC_TRIGA_SOURCE_SELECT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 6,
+ "description": "",
+ "name": "D1CRTC_TRIGA_POLARITY_SELECT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "D1CRTC_TRIGA_RESYNC_BYPASS_E",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 10,
+ "description": "",
+ "name": "D1CRTC_TRIGA_POLARITY_STATUS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 13,
+ "description": "",
+ "name": "D1CRTC_TRIGA_RISING_EDGE_DETE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "D1CRTC_TRIGA_FALLING_EDGE_DET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 21,
+ "description": "",
+ "name": "D1CRTC_TRIGA_FREQUENCY_SELEC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 28,
+ "description": "",
+ "name": "D1CRTC_TRIGA_DELAY",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_TRIGA_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006064": {
+ "field": [],
+ "name": "D1CRTC_TRIGA_MANUAL_TRIG",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006068": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "D1CRTC_TRIGB_SOURCE_SELECT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 6,
+ "description": "",
+ "name": "D1CRTC_TRIGB_POLARITY_SELECT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "D1CRTC_TRIGB_RESYNC_BYPASS_E",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 10,
+ "description": "",
+ "name": "D1CRTC_TRIGB_POLARITY_STATUS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 13,
+ "description": "",
+ "name": "D1CRTC_TRIGB_RISING_EDGE_DETE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "D1CRTC_TRIGB_FALLING_EDGE_DET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 21,
+ "description": "",
+ "name": "D1CRTC_TRIGB_FREQUENCY_SELEC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 28,
+ "description": "",
+ "name": "D1CRTC_TRIGB_DELAY",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_TRIGB_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000606C": {
+ "field": [],
+ "name": "D1CRTC_TRIGB_MANUAL_TRIG",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006070": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "D1CRTC_FORCE_COUNT_NOW_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "D1CRTC_FORCE_COUNT_NOW_TRIG_",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D1CRTC_FORCE_COUNT_NOW_OCCU",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "D1CRTC_FORCE_COUNT_NOW_CLEA",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_FORCE_COUNT_NOW_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006074": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 4,
+ "description": "",
+ "name": "D1CRTC_FLOW_CONTROL_SOURCE_",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "D1CRTC_FLOW_CONTROL_POLARITY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D1CRTC_FLOW_CONTROL_GRANULA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "D1CRTC_FLOW_CONTROL_INPUT_ST",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_FLOW_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006078": {
+ "field": [],
+ "name": "D1CRTC_PIXEL_DATA_READBACK",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000607C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "D1CRTC_STEREO_FORCE_NEXT_EYE",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_STEREO_FORCE_NEXT_EYE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006080": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D1CRTC_MASTER_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "D1CRTC_SYNC_RESET_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 9,
+ "description": "",
+ "name": "D1CRTC_DISABLE_POINT_CNTL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D1CRTC_CURRENT_MASTER_EN_STA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "D1CRTC_DISP_READ_REQUEST_DISA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "D1CRTC_PREFETCH_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 29,
+ "bl": 29,
+ "description": "",
+ "name": "D1CRTC_SOF_PULL_EN",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006084": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "D1CRTC_BLANK_DATA_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D1CRTC_BLANK_DE_MODE",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_BLANK_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006088": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D1CRTC_INTERLACE_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "D1CRTC_INTERLACE_FORCE_NEXT_F",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_INTERLACE_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000608C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D1CRTC_INTERLACE_CURRENT_FIEL",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_INTERLACE_STATUS",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006090": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 9,
+ "description": "",
+ "name": "D1CRTC_BLANK_DATA_COLOR_BLUE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 19,
+ "description": "",
+ "name": "D1CRTC_BLANK_DATA_COLOR_GREE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 29,
+ "description": "",
+ "name": "D1CRTC_BLANK_DATA_COLOR_RED_",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_BLANK_DATA_COLOR",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006094": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 9,
+ "description": "",
+ "name": "D1CRTC_OVERSCAN_COLOR_BLUE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 19,
+ "description": "",
+ "name": "D1CRTC_OVERSCAN_COLOR_GREEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 29,
+ "description": "",
+ "name": "D1CRTC_OVERSCAN_COLOR_RED",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_OVERSCAN_COLOR",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006098": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 9,
+ "description": "",
+ "name": "D1CRTC_BLACK_COLOR_B_CB",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 19,
+ "description": "",
+ "name": "D1CRTC_BLACK_COLOR_G_Y",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 29,
+ "description": "",
+ "name": "D1CRTC_BLACK_COLOR_R_CR",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_BLACK_COLOR",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000609C": {
+ "field": [],
+ "name": "D1CRTC_STATUS",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000060A0": {
+ "field": [],
+ "name": "D1CRTC_STATUS_POSITION",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000060A4": {
+ "field": [],
+ "name": "D1CRTC_STATUS_FRAME_COUNT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000060A8": {
+ "field": [],
+ "name": "D1CRTC_STATUS_VF_COUNT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000060AC": {
+ "field": [],
+ "name": "D1CRTC_STATUS_HV_COUNT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000060B0": {
+ "field": [],
+ "name": "D1CRTC_COUNT_RESET",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000060B4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D1CRTC_HORZ_COUNT_BY2_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 4,
+ "description": "",
+ "name": "D1CRTC_HORZ_REPETITION_COUNT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D1CRTC_MANUAL_FORCE_VSYNC_NE",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_COUNT_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000060BC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D1CRTC_FORCE_VSYNC_NEXT_LINE_",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "D1CRTC_AUTO_FORCE_VSYNC_MOD",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_VERT_SYNC_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000060C0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 25,
+ "description": "",
+ "name": "D1CRTC_STEREO_FORCE_NEXT_EYE",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_STEREO_STATUS",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000060C4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "D1CRTC_STEREO_SYNC_OUTPUT_PO",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D1CRTC_STEREO_SYNC_SELECT_PO",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "D1CRTC_STEREO_EN",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_STEREO_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000060C8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "D1CRTC_SNAPSHOT_MANUAL_TRIGG",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_SNAPSHOT_STATUS",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000060CC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "D1CRTC_AUTO_SNAPSHOT_TRIG_SE",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_SNAPSHOT_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000060D0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 12,
+ "description": "",
+ "name": "D1CRTC_SNAPSHOT_VERT_COUNT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 28,
+ "description": "",
+ "name": "D1CRTC_SNAPSHOT_HORZ_COUNT",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_SNAPSHOT_POSITION",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000060D4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 23,
+ "description": "",
+ "name": "D1CRTC_SNAPSHOT_FRAME_COUNT",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_SNAPSHOT_FRAME",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000060D8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D1CRTC_PROGRESSIVE_START_LINE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "D1CRTC_INTERLACE_START_LINE_EA",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_START_LINE_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000060DC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D1CRTC_SNAPSHOT_INT_MSK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "D1CRTC_SNAPSHOT_INT_TYPE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "D1CRTC_V_UPDATE_INT_MSK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "D1CRTC_V_UPDATE_INT_TYPE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "D1CRTC_FORCE_COUNT_NOW_INT_M",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "D1CRTC_FORCE_COUNT_NOW_INT_T",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D1CRTC_FORCE_VSYNC_NEXT_LINE_",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "D1CRTC_TRIGA_INT_MSK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "D1CRTC_TRIGB_INT_MSK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 26,
+ "description": "",
+ "name": "D1CRTC_TRIGA_INT_TYPE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 27,
+ "bl": 27,
+ "description": "",
+ "name": "D1CRTC_TRIGB_INT_TYPE",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_INTERRUPT_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000060E0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D1MODE_MASTER_UPDATE_LOCK",
+ "value": []
+ }
+ ],
+ "name": "D1MODE_MASTER_UPDATE_LOCK",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000060E4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "D1MODE_MASTER_UPDATE_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "D1MODE_MASTER_UPDATE_INTERLA",
+ "value": []
+ }
+ ],
+ "name": "D1MODE_MASTER_UPDATE_MODE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000060E8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D1CRTC_UPDATE_LOCK",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_UPDATE_LOCK",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000060EC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "D1CRTC_UPDATE_INSTANTLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D1CRTC_BLANK_DATA_DOUBLE_BUF",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D1CRTC_VGA_PARAMETER_CAPTUR",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_DOUBLE_BUFFER_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000060F8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D1CRTC_MASTER_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "D2CRTC_MASTER_EN",
+ "value": []
+ }
+ ],
+ "name": "DC_CRTC_MASTER_EN",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000060FC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "CRTC_TV_DATA_SOURCE",
+ "value": []
+ }
+ ],
+ "name": "DC_CRTC_TV_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006100": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D1GRPH_ENABLE",
+ "value": []
+ }
+ ],
+ "name": "D1GRPH_ENABLE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006104": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "D1GRPH_DEPTH",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 5,
+ "description": "",
+ "name": "D1GRPH_Z",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 10,
+ "description": "",
+ "name": "D1GRPH_FORMAT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "D1GRPH_TILE_COMPACT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D1GRPH_ADDRESS_TRANSLATION_E",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "D1GRPH_PRIVILEGED_ACCESS_ENAB",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 23,
+ "description": "",
+ "name": "D1GRPH_ARRAY_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 25,
+ "description": "",
+ "name": "D1GRPH_16BIT_ALPHA_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 30,
+ "description": "",
+ "name": "D1GRPH_16BIT_FIXED_ALPHA_RANG",
+ "value": []
+ }
+ ],
+ "name": "D1GRPH_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006108": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D1GRPH_LUT_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "D1GRPH_LUT_10BIT_BYPASS_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D1GRPH_LUT_10BIT_BYPASS_DBL_B",
+ "value": []
+ }
+ ],
+ "name": "D1GRPH_LUT_SEL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000610C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "D1GRPH_ENDIAN_SWAP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 5,
+ "description": "",
+ "name": "D1GRPH_RED_CROSSBAR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 7,
+ "description": "",
+ "name": "D1GRPH_GREEN_CROSSBAR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 9,
+ "description": "",
+ "name": "D1GRPH_BLUE_CROSSBAR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 11,
+ "description": "",
+ "name": "D1GRPH_ALPHA_CROSSBAR",
+ "value": []
+ }
+ ],
+ "name": "D1GRPH_SWAP_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006110": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D1GRPH_PRIMARY_DFQ_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 31,
+ "description": "",
+ "name": "D1GRPH_PRIMARY_SURFACE_ADDRE",
+ "value": []
+ }
+ ],
+ "name": "D1GRPH_PRIMARY_SURFACE_ADDRESS",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006118": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D1GRPH_SECONDARY_DFQ_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 31,
+ "description": "",
+ "name": "D1GRPH_SECONDARY_SURFACE_AD",
+ "value": []
+ }
+ ],
+ "name": "D1GRPH_SECONDARY_SURFACE_ADDRESS",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006120": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 13,
+ "description": "",
+ "name": "D1GRPH_PITCH",
+ "value": []
+ }
+ ],
+ "name": "D1GRPH_PITCH",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006124": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 12,
+ "description": "",
+ "name": "D1GRPH_SURFACE_OFFSET_X",
+ "value": []
+ }
+ ],
+ "name": "D1GRPH_SURFACE_OFFSET_X",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006128": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 12,
+ "description": "",
+ "name": "D1GRPH_SURFACE_OFFSET_Y",
+ "value": []
+ }
+ ],
+ "name": "D1GRPH_SURFACE_OFFSET_Y",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000612C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 12,
+ "description": "",
+ "name": "D1GRPH_X_START",
+ "value": []
+ }
+ ],
+ "name": "D1GRPH_X_START",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006130": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 12,
+ "description": "",
+ "name": "D1GRPH_Y_START",
+ "value": []
+ }
+ ],
+ "name": "D1GRPH_Y_START",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006134": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 13,
+ "description": "",
+ "name": "D1GRPH_X_END",
+ "value": []
+ }
+ ],
+ "name": "D1GRPH_X_END",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006138": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 13,
+ "description": "",
+ "name": "D1GRPH_Y_END",
+ "value": []
+ }
+ ],
+ "name": "D1GRPH_Y_END",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000613C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "D1COLOR_SUBSAMPLE_CRCB_MODE",
+ "value": []
+ }
+ ],
+ "name": "D1COLOR_SPACE_CONVERT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006144": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D1GRPH_MODE_UPDATE_PENDING",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "D1GRPH_SURFACE_UPDATE_PENDIN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "D1GRPH_SURFACE_UPDATE_TAKEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D1GRPH_UPDATE_LOCK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "D1GRPH_MODE_DISABLE_MULTIPLE_",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "D1GRPH_SURFACE_DISABLE_MULTIP",
+ "value": []
+ }
+ ],
+ "name": "D1GRPH_UPDATE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006148": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D1GRPH_SURFACE_UPDATE_H_RETR",
+ "value": []
+ }
+ ],
+ "name": "D1GRPH_FLIP_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000614C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 31,
+ "description": "",
+ "name": "D1GRPH_SURFACE_ADDRESS_INUSE",
+ "value": []
+ }
+ ],
+ "name": "D1GRPH_SURFACE_ADDRESS_INUSE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006180": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D1OVL_ENABLE",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_ENABLE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006184": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "D1OVL_DEPTH",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 5,
+ "description": "",
+ "name": "D1OVL_Z",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 10,
+ "description": "",
+ "name": "D1OVL_FORMAT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "D1OVL_TILE_COMPACT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D1OVL_ADDRESS_TRANSLATION_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "D1OVL_PRIVILEGED_ACCESS_ENABL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 23,
+ "description": "",
+ "name": "D1OVL_ARRAY_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "D1OVL_COLOR_EXPANSION_MODE",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_CONTROL1",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006188": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D1OVL_HALF_RESOLUTION_ENABLE",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_CONTROL2",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000618C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "D1OVL_ENDIAN_SWAP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 5,
+ "description": "",
+ "name": "D1OVL_RED_CROSSBAR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 7,
+ "description": "",
+ "name": "D1OVL_GREEN_CROSSBAR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 9,
+ "description": "",
+ "name": "D1OVL_BLUE_CROSSBAR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 11,
+ "description": "",
+ "name": "D1OVL_ALPHA_CROSSBAR",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_SWAP_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006190": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D1OVL_DFQ_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 31,
+ "description": "",
+ "name": "D1OVL_SURFACE_ADDRESS",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_SURFACE_ADDRESS",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006198": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 13,
+ "description": "",
+ "name": "D1OVL_PITCH",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_PITCH",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000619C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 12,
+ "description": "",
+ "name": "D1OVL_SURFACE_OFFSET_X",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_SURFACE_OFFSET_X",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000061A0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 12,
+ "description": "",
+ "name": "D1OVL_SURFACE_OFFSET_Y",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_SURFACE_OFFSET_Y",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000061A4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 12,
+ "description": "",
+ "name": "D1OVL_Y_START",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 28,
+ "description": "",
+ "name": "D1OVL_X_START",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_START",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000061A8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 13,
+ "description": "",
+ "name": "D1OVL_Y_END",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 29,
+ "description": "",
+ "name": "D1OVL_X_END",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_END",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000061AC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D1OVL_UPDATE_LOCK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "D1OVL_DISABLE_MULTIPLE_UPDATE",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_UPDATE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000061B0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 31,
+ "description": "",
+ "name": "D1OVL_SURFACE_ADDRESS_INUSE",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_SURFACE_ADDRESS_INUSE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000061B4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D1OVL_DFQ_RESET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 6,
+ "description": "",
+ "name": "D1OVL_DFQ_SIZE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 10,
+ "description": "",
+ "name": "D1OVL_DFQ_MIN_FREE_ENTRIES",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_DFQ_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000061B8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "D1OVL_COLOR_MATRIX_TRANSFORM",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_DFQ_STATUS",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006200": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D1OVL_MATRIX_TRANSFORM_EN",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_MATRIX_TRANSFORM_EN",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006204": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 18,
+ "description": "",
+ "name": "D1OVL_MATRIX_COEF_1_1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D1OVL_MATRIX_SIGN_1_1",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_MATRIX_COEF_1_1",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006208": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 18,
+ "description": "",
+ "name": "D1OVL_MATRIX_COEF_1_2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D1OVL_MATRIX_SIGN_1_2",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_MATRIX_COEF_1_2",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000620C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 18,
+ "description": "",
+ "name": "D1OVL_MATRIX_COEF_1_3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D1OVL_MATRIX_SIGN_1_3",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_MATRIX_COEF_1_3",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006210": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 26,
+ "description": "",
+ "name": "D1OVL_MATRIX_COEF_1_4",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D1OVL_MATRIX_SIGN_1_4",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_MATRIX_COEF_1_4",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006214": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 18,
+ "description": "",
+ "name": "D1OVL_MATRIX_COEF_2_1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D1OVL_MATRIX_SIGN_2_1",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_MATRIX_COEF_2_1",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006218": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 18,
+ "description": "",
+ "name": "D1OVL_MATRIX_COEF_2_2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D1OVL_MATRIX_SIGN_2_2",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_MATRIX_COEF_2_2",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000621C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 18,
+ "description": "",
+ "name": "D1OVL_MATRIX_COEF_2_3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D1OVL_MATRIX_SIGN_2_3",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_MATRIX_COEF_2_3",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006220": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 26,
+ "description": "",
+ "name": "D1OVL_MATRIX_COEF_2_4",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D1OVL_MATRIX_SIGN_2_4",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_MATRIX_COEF_2_4",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006224": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 18,
+ "description": "",
+ "name": "D1OVL_MATRIX_COEF_3_1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D1OVL_MATRIX_SIGN_3_1",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_MATRIX_COEF_3_1",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006228": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 18,
+ "description": "",
+ "name": "D1OVL_MATRIX_COEF_3_2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D1OVL_MATRIX_SIGN_3_2",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_MATRIX_COEF_3_2",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000622C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 18,
+ "description": "",
+ "name": "D1OVL_MATRIX_COEF_3_3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D1OVL_MATRIX_SIGN_3_3",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_MATRIX_COEF_3_3",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006230": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 26,
+ "description": "",
+ "name": "D1OVL_MATRIX_COEF_3_4",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D1OVL_MATRIX_SIGN_3_4",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_MATRIX_COEF_3_4",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006280": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D1OVL_PWL_TRANSFORM_EN",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_PWL_TRANSFORM_EN",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006284": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 8,
+ "description": "",
+ "name": "D1OVL_PWL_0TOF_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 26,
+ "description": "",
+ "name": "D1OVL_PWL_0TOF_SLOPE",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_PWL_0TOF",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006288": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 8,
+ "description": "",
+ "name": "D1OVL_PWL_10TO1F_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 26,
+ "description": "",
+ "name": "D1OVL_PWL_10TO1F_SLOPE",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_PWL_10TO1F",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000628C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 9,
+ "description": "",
+ "name": "D1OVL_PWL_20TO3F_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 25,
+ "description": "",
+ "name": "D1OVL_PWL_20TO3F_SLOPE",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_PWL_20TO3F",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006290": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 9,
+ "description": "",
+ "name": "D1OVL_PWL_40TO7F_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 24,
+ "description": "",
+ "name": "D1OVL_PWL_40TO7F_SLOPE",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_PWL_40TO7F",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006294": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 10,
+ "description": "",
+ "name": "D1OVL_PWL_80TOBF_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 24,
+ "description": "",
+ "name": "D1OVL_PWL_80TOBF_SLOPE",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_PWL_80TOBF",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006298": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 10,
+ "description": "",
+ "name": "D1OVL_PWL_C0TOFF_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 24,
+ "description": "",
+ "name": "D1OVL_PWL_C0TOFF_SLOPE",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_PWL_C0TOFF",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000629C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 10,
+ "description": "",
+ "name": "D1OVL_PWL_100TO13F_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 24,
+ "description": "",
+ "name": "D1OVL_PWL_100TO13F_SLOPE",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_PWL_100TO13F",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000062A0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 10,
+ "description": "",
+ "name": "D1OVL_PWL_140TO17F_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 24,
+ "description": "",
+ "name": "D1OVL_PWL_140TO17F_SLOPE",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_PWL_140TO17F",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000062A4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 10,
+ "description": "",
+ "name": "D1OVL_PWL_180TO1BF_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 24,
+ "description": "",
+ "name": "D1OVL_PWL_180TO1BF_SLOPE",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_PWL_180TO1BF",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000062A8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 10,
+ "description": "",
+ "name": "D1OVL_PWL_1C0TO1FF_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 24,
+ "description": "",
+ "name": "D1OVL_PWL_1C0TO1FF_SLOPE",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_PWL_1C0TO1FF",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000062AC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 10,
+ "description": "",
+ "name": "D1OVL_PWL_200TO23F_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 24,
+ "description": "",
+ "name": "D1OVL_PWL_200TO23F_SLOPE",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_PWL_200TO23F",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000062B0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 10,
+ "description": "",
+ "name": "D1OVL_PWL_240TO27F_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 24,
+ "description": "",
+ "name": "D1OVL_PWL_240TO27F_SLOPE",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_PWL_240TO27F",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000062B4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 10,
+ "description": "",
+ "name": "D1OVL_PWL_280TO2BF_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 24,
+ "description": "",
+ "name": "D1OVL_PWL_280TO2BF_SLOPE",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_PWL_280TO2BF",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000062B8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 10,
+ "description": "",
+ "name": "D1OVL_PWL_2C0TO2FF_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 24,
+ "description": "",
+ "name": "D1OVL_PWL_2C0TO2FF_SLOPE",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_PWL_2C0TO2FF",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000062BC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 10,
+ "description": "",
+ "name": "D1OVL_PWL_300TO33F_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 24,
+ "description": "",
+ "name": "D1OVL_PWL_300TO33F_SLOPE",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_PWL_300TO33F",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000062C0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 10,
+ "description": "",
+ "name": "D1OVL_PWL_340TO37F_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 24,
+ "description": "",
+ "name": "D1OVL_PWL_340TO37F_SLOPE",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_PWL_340TO37F",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000062C4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 10,
+ "description": "",
+ "name": "D1OVL_PWL_380TO3BF_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 24,
+ "description": "",
+ "name": "D1OVL_PWL_380TO3BF_SLOPE",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_PWL_380TO3BF",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000062C8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 10,
+ "description": "",
+ "name": "D1OVL_PWL_3C0TO3FF_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 24,
+ "description": "",
+ "name": "D1OVL_PWL_3C0TO3FF_SLOPE",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_PWL_3C0TO3FF",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006300": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "D1GRPH_KEY_FUNCTION",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 9,
+ "description": "",
+ "name": "D1OVL_KEY_FUNCTION",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D1OVL_KEY_COMPARE_MIX",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_KEY_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006304": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "D1GRPH_ALPHA",
+ "value": []
+ }
+ ],
+ "name": "D1GRPH_ALPHA",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006308": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "D1OVL_ALPHA",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_ALPHA",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000630C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "D1OVL_ALPHA_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "D1OVL_ALPHA_PREMULT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D1OVL_ALPHA_INV",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_ALPHA_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006310": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "D1GRPH_KEY_RED_LOW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 31,
+ "description": "",
+ "name": "D1GRPH_KEY_RED_HIGH",
+ "value": []
+ }
+ ],
+ "name": "D1GRPH_KEY_RANGE_RED",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006314": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "D1GRPH_KEY_GREEN_LOW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 31,
+ "description": "",
+ "name": "D1GRPH_KEY_GREEN_HIGH",
+ "value": []
+ }
+ ],
+ "name": "D1GRPH_KEY_RANGE_GREEN",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006318": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "D1GRPH_KEY_BLUE_LOW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 31,
+ "description": "",
+ "name": "D1GRPH_KEY_BLUE_HIGH",
+ "value": []
+ }
+ ],
+ "name": "D1GRPH_KEY_RANGE_BLUE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000631C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "D1GRPH_KEY_ALPHA_LOW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 31,
+ "description": "",
+ "name": "D1GRPH_KEY_ALPHA_HIGH",
+ "value": []
+ }
+ ],
+ "name": "D1GRPH_KEY_RANGE_ALPHA",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006320": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 9,
+ "description": "",
+ "name": "D1OVL_KEY_RED_CR_LOW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 25,
+ "description": "",
+ "name": "D1OVL_KEY_RED_CR_HIGH",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_KEY_RANGE_RED_CR",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006324": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 9,
+ "description": "",
+ "name": "D1OVL_KEY_GREEN_Y_LOW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 25,
+ "description": "",
+ "name": "D1OVL_KEY_GREEN_Y_HIGH",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_KEY_RANGE_GREEN_Y",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006328": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 9,
+ "description": "",
+ "name": "D1OVL_KEY_BLUE_CB_LOW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 25,
+ "description": "",
+ "name": "D1OVL_KEY_BLUE_CB_HIGH",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_KEY_RANGE_BLUE_CB",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000632C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "D1OVL_KEY_ALPHA_LOW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "D1OVL_KEY_ALPHA_HIGH",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D1GRPH_COLOR_MATRIX_TRANSFOR",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_KEY_ALPHA",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006384": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 16,
+ "description": "",
+ "name": "D1COLOR_MATRIX_COEF_1_1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D1COLOR_MATRIX_SIGN_1_1",
+ "value": []
+ }
+ ],
+ "name": "D1COLOR_MATRIX_COEF_1_1",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006388": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "D1COLOR_MATRIX_COEF_1_2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D1COLOR_MATRIX_SIGN_1_2",
+ "value": []
+ }
+ ],
+ "name": "D1COLOR_MATRIX_COEF_1_2",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000638C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "D1COLOR_MATRIX_COEF_1_3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D1COLOR_MATRIX_SIGN_1_3",
+ "value": []
+ }
+ ],
+ "name": "D1COLOR_MATRIX_COEF_1_3",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006390": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 26,
+ "description": "",
+ "name": "D1COLOR_MATRIX_COEF_1_4",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D1COLOR_MATRIX_SIGN_1_4",
+ "value": []
+ }
+ ],
+ "name": "D1COLOR_MATRIX_COEF_1_4",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006394": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "D1COLOR_MATRIX_COEF_2_1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D1COLOR_MATRIX_SIGN_2_1",
+ "value": []
+ }
+ ],
+ "name": "D1COLOR_MATRIX_COEF_2_1",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006398": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 16,
+ "description": "",
+ "name": "D1COLOR_MATRIX_COEF_2_2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D1COLOR_MATRIX_SIGN_2_2",
+ "value": []
+ }
+ ],
+ "name": "D1COLOR_MATRIX_COEF_2_2",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000639C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "D1COLOR_MATRIX_COEF_2_3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D1COLOR_MATRIX_SIGN_2_3",
+ "value": []
+ }
+ ],
+ "name": "D1COLOR_MATRIX_COEF_2_3",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000063A0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 26,
+ "description": "",
+ "name": "D1COLOR_MATRIX_COEF_2_4",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D1COLOR_MATRIX_SIGN_2_4",
+ "value": []
+ }
+ ],
+ "name": "D1COLOR_MATRIX_COEF_2_4",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000063A4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "D1COLOR_MATRIX_COEF_3_1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D1COLOR_MATRIX_SIGN_3_1",
+ "value": []
+ }
+ ],
+ "name": "D1COLOR_MATRIX_COEF_3_1",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000063A8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "D1COLOR_MATRIX_COEF_3_2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D1COLOR_MATRIX_SIGN_3_2",
+ "value": []
+ }
+ ],
+ "name": "D1COLOR_MATRIX_COEF_3_2",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000063AC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 16,
+ "description": "",
+ "name": "D1COLOR_MATRIX_COEF_3_3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D1COLOR_MATRIX_SIGN_3_3",
+ "value": []
+ }
+ ],
+ "name": "D1COLOR_MATRIX_COEF_3_3",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000063B0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 26,
+ "description": "",
+ "name": "D1COLOR_MATRIX_COEF_3_4",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D1COLOR_MATRIX_SIGN_3_4",
+ "value": []
+ }
+ ],
+ "name": "D1COLOR_MATRIX_COEF_3_4",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006400": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D1CURSOR_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 9,
+ "description": "",
+ "name": "D1CURSOR_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D1CURSOR_2X_MAGNIFY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "D1CURSOR_FORCE_MC_ON",
+ "value": []
+ }
+ ],
+ "name": "D1CUR_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006408": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "D1CURSOR_SURFACE_ADDRESS",
+ "value": []
+ }
+ ],
+ "name": "D1CUR_SURFACE_ADDRESS",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006410": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 5,
+ "description": "",
+ "name": "D1CURSOR_HEIGHT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 21,
+ "description": "",
+ "name": "D1CURSOR_WIDTH",
+ "value": []
+ }
+ ],
+ "name": "D1CUR_SIZE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006414": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 12,
+ "description": "",
+ "name": "D1CURSOR_Y_POSITION",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 28,
+ "description": "",
+ "name": "D1CURSOR_X_POSITION",
+ "value": []
+ }
+ ],
+ "name": "D1CUR_POSITION",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006418": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 5,
+ "description": "",
+ "name": "D1CURSOR_HOT_SPOT_Y",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 21,
+ "description": "",
+ "name": "D1CURSOR_HOT_SPOT_X",
+ "value": []
+ }
+ ],
+ "name": "D1CUR_HOT_SPOT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000641C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "D1CUR_COLOR1_BLUE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "D1CUR_COLOR1_GREEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "D1CUR_COLOR1_RED",
+ "value": []
+ }
+ ],
+ "name": "D1CUR_COLOR1",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006420": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "D1CUR_COLOR2_BLUE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "D1CUR_COLOR2_GREEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "D1CUR_COLOR2_RED",
+ "value": []
+ }
+ ],
+ "name": "D1CUR_COLOR2",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006424": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D1CURSOR_UPDATE_LOCK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "D1CURSOR_DISABLE_MULTIPLE_UPD",
+ "value": []
+ }
+ ],
+ "name": "D1CUR_UPDATE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006440": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D1ICON_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D1ICON_2X_MAGNIFY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "D1ICON_FORCE_MC_ON",
+ "value": []
+ }
+ ],
+ "name": "D1ICON_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006448": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "D1ICON_SURFACE_ADDRESS",
+ "value": []
+ }
+ ],
+ "name": "D1ICON_SURFACE_ADDRESS",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006450": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 6,
+ "description": "",
+ "name": "D1ICON_HEIGHT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 22,
+ "description": "",
+ "name": "D1ICON_WIDTH",
+ "value": []
+ }
+ ],
+ "name": "D1ICON_SIZE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006454": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 12,
+ "description": "",
+ "name": "D1ICON_Y_POSITION",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 28,
+ "description": "",
+ "name": "D1ICON_X_POSITION",
+ "value": []
+ }
+ ],
+ "name": "D1ICON_START_POSITION",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006458": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "D1ICON_COLOR1_BLUE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "D1ICON_COLOR1_GREEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "D1ICON_COLOR1_RED",
+ "value": []
+ }
+ ],
+ "name": "D1ICON_COLOR1",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000645C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "D1ICON_COLOR2_BLUE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "D1ICON_COLOR2_GREEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "D1ICON_COLOR2_RED",
+ "value": []
+ }
+ ],
+ "name": "D1ICON_COLOR2",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006460": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D1ICON_UPDATE_LOCK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "D1ICON_DISABLE_MULTIPLE_UPDATE",
+ "value": []
+ }
+ ],
+ "name": "D1ICON_UPDATE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006480": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_LUT_RW_SELECT",
+ "value": []
+ }
+ ],
+ "name": "DC_LUT_RW_SELECT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006484": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_LUT_RW_MODE",
+ "value": []
+ }
+ ],
+ "name": "DC_LUT_RW_MODE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006488": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "DC_LUT_RW_INDEX",
+ "value": []
+ }
+ ],
+ "name": "DC_LUT_RW_INDEX",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000648C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "DC_LUT_SEQ_COLOR",
+ "value": []
+ }
+ ],
+ "name": "DC_LUT_SEQ_COLOR",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006490": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "DC_LUT_BASE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 31,
+ "description": "",
+ "name": "DC_LUT_DELTA",
+ "value": []
+ }
+ ],
+ "name": "DC_LUT_PWL_DATA",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006494": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 9,
+ "description": "",
+ "name": "DC_LUT_COLOR_10_BLUE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 19,
+ "description": "",
+ "name": "DC_LUT_COLOR_10_GREEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 29,
+ "description": "",
+ "name": "DC_LUT_COLOR_10_RED",
+ "value": []
+ }
+ ],
+ "name": "DC_LUT_30_COLOR",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006498": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_LUT_READ_PIPE_SELECT",
+ "value": []
+ }
+ ],
+ "name": "DC_LUT_READ_PIPE_SELECT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000649C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 5,
+ "description": "",
+ "name": "DC_LUT_WRITE_EN_MASK",
+ "value": []
+ }
+ ],
+ "name": "DC_LUT_WRITE_EN_MASK",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000064A0": {
+ "field": [],
+ "name": "DC_LUT_AUTOFILL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000064C0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "DC_LUTA_INC_B",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "DC_LUTA_DATA_B_SIGNED_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "DC_LUTA_DATA_B_FLOAT_POINT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "DC_LUTA_INC_G",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "DC_LUTA_DATA_G_SIGNED_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "DC_LUTA_DATA_G_FLOAT_POINT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "DC_LUTA_INC_R",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "DC_LUTA_DATA_R_SIGNED_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 21,
+ "description": "",
+ "name": "DC_LUTA_DATA_R_FLOAT_POINT_EN",
+ "value": []
+ }
+ ],
+ "name": "DC_LUTA_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000064C4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "DC_LUTA_BLACK_OFFSET_BLUE",
+ "value": []
+ }
+ ],
+ "name": "DC_LUTA_BLACK_OFFSET_BLUE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000064C8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "DC_LUTA_BLACK_OFFSET_GREEN",
+ "value": []
+ }
+ ],
+ "name": "DC_LUTA_BLACK_OFFSET_GREEN",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000064CC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "DC_LUTA_BLACK_OFFSET_RED",
+ "value": []
+ }
+ ],
+ "name": "DC_LUTA_BLACK_OFFSET_RED",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000064D0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "DC_LUTA_WHITE_OFFSET_BLUE",
+ "value": []
+ }
+ ],
+ "name": "DC_LUTA_WHITE_OFFSET_BLUE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000064D4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "DC_LUTA_WHITE_OFFSET_GREEN",
+ "value": []
+ }
+ ],
+ "name": "DC_LUTA_WHITE_OFFSET_GREEN",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000064D8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "DC_LUTA_WHITE_OFFSET_RED",
+ "value": []
+ }
+ ],
+ "name": "DC_LUTA_WHITE_OFFSET_RED",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006500": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D1OVL_RT_CLEAR_GOBBLE_COUNT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "D1OVL_RT_CLEAR_SUBMIT_COUNT",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_RT_SKEWCOMMAND",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006504": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "D1OVL_RT_CAPS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 6,
+ "description": "",
+ "name": "D1OVL_RT_SKEW_MAX",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_RT_SKEWCONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006508": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 13,
+ "description": "",
+ "name": "D1OVL_RT_TOP_SCAN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 29,
+ "description": "",
+ "name": "D1OVL_RT_BTM_SCAN",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_RT_BAND_POSITION",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000650C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D1OVL_RT_REDUCE_DELAY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "D1OVL_RT_RT_FLIP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "D1OVL_RT_PROCEED_ON_EOF_DISA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "D1OVL_RT_WITH_HELD_ON_SOF",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 29,
+ "description": "",
+ "name": "D1OVL_RT_TEAR_PROOF_HEIGHT",
+ "value": []
+ }
+ ],
+ "name": "D1OVL_RT_PROCEED_COND",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006510": {
+ "field": [],
+ "name": "D1OVL_RT_STAT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006514": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "D1_MVP_AFR_FLIP_MODE",
+ "value": []
+ }
+ ],
+ "name": "D1_MVP_AFR_FLIP_MODE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006518": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "D1_MVP_AFR_FLIP_FIFO_NUM_ENTRI",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "D1_MVP_AFR_FLIP_FIFO_RESET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "D1_MVP_AFR_FLIP_FIFO_RESET_FLA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "D1_MVP_AFR_FLIP_FIFO_RESET_ACK",
+ "value": []
+ }
+ ],
+ "name": "D1_MVP_AFR_FLIP_FIFO_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000651C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "D1_MVP_FLIP_LINE_NUM_INSERT_MO",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 21,
+ "description": "",
+ "name": "D1_MVP_FLIP_LINE_NUM_INSERT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 29,
+ "description": "",
+ "name": "D1_MVP_FLIP_LINE_NUM_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 30,
+ "bl": 30,
+ "description": "",
+ "name": "D1_MVP_FLIP_AUTO_ENABLE",
+ "value": []
+ }
+ ],
+ "name": "D1_MVP_FLIP_LINE_NUM_INSERT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006534": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D1MODE_VBLANK_OCCURRED",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "D1MODE_VBLANK_ACK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "D1MODE_VBLANK_STAT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D1MODE_VBLANK_INTERRUPT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "D1MODE_VBLANK_INTERRUPT_TYPE",
+ "value": []
+ }
+ ],
+ "name": "D1MODE_VBLANK_STATUS",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000653C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D1MODE_VLINE_OCCURRED",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "D1MODE_VLINE_ACK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "D1MODE_VLINE_STAT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D1MODE_VLINE_INTERRUPT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "D1MODE_VLINE_INTERRUPT_TYPE",
+ "value": []
+ }
+ ],
+ "name": "D1MODE_VLINE_STATUS",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006540": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D1MODE_VBLANK_INT_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "D1MODE_VLINE_INT_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "D2MODE_VBLANK_INT_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "D2MODE_VLINE_INT_MASK",
+ "value": []
+ }
+ ],
+ "name": "DXMODE_INT_MASK",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000065E8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "D2_MVP_AFR_FLIP_MODE",
+ "value": []
+ }
+ ],
+ "name": "D2_MVP_AFR_FLIP_MODE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000065EC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "D2_MVP_AFR_FLIP_FIFO_NUM_ENTRI",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "D2_MVP_AFR_FLIP_FIFO_RESET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "D2_MVP_AFR_FLIP_FIFO_RESET_FLA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "D2_MVP_AFR_FLIP_FIFO_RESET_ACK",
+ "value": []
+ }
+ ],
+ "name": "D2_MVP_AFR_FLIP_FIFO_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000065F0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "D2_MVP_FLIP_LINE_NUM_INSERT_MO",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 21,
+ "description": "",
+ "name": "D2_MVP_FLIP_LINE_NUM_INSERT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 29,
+ "description": "",
+ "name": "D2_MVP_FLIP_LINE_NUM_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 30,
+ "bl": 30,
+ "description": "",
+ "name": "D2_MVP_FLIP_AUTO_ENABLE",
+ "value": []
+ }
+ ],
+ "name": "D2_MVP_FLIP_LINE_NUM_INSERT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000065F4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "D1_MVP_SWAP_LOCK_IN_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 5,
+ "description": "",
+ "name": "D2_MVP_SWAP_LOCK_IN_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DC_MVP_SWAP_LOCK_OUT_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "DC_MVP_SWAP_LOCK_OUT_FORCE_",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 18,
+ "description": "",
+ "name": "DC_MVP_D1_DFQ_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 19,
+ "bl": 19,
+ "description": "",
+ "name": "DC_MVP_D2_DFQ_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "DC_MVP_D1_SWAP_LOCK_STATUS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "DC_MVP_D2_SWAP_LOCK_STATUS",
+ "value": []
+ }
+ ],
+ "name": "DC_MVP_LB_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006800": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 12,
+ "description": "",
+ "name": "D2CRTC_H_TOTAL",
+ "value": []
+ }
+ ],
+ "name": "D2CRTC_H_TOTAL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006804": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 12,
+ "description": "",
+ "name": "D2CRTC_H_BLANK_START",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 28,
+ "description": "",
+ "name": "D2CRTC_H_BLANK_END",
+ "value": []
+ }
+ ],
+ "name": "D2CRTC_H_BLANK_START_END",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006808": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 12,
+ "description": "",
+ "name": "D2CRTC_H_SYNC_A_START",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 28,
+ "description": "",
+ "name": "D2CRTC_H_SYNC_A_END",
+ "value": []
+ }
+ ],
+ "name": "D2CRTC_H_SYNC_A",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000680C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D2CRTC_H_SYNC_A_POL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D2CRTC_COMP_SYNC_A_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "D2CRTC_H_SYNC_A_CUTOFF",
+ "value": []
+ }
+ ],
+ "name": "D2CRTC_H_SYNC_A_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006810": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 12,
+ "description": "",
+ "name": "D2CRTC_H_SYNC_B_START",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 28,
+ "description": "",
+ "name": "D2CRTC_H_SYNC_B_END",
+ "value": []
+ }
+ ],
+ "name": "D2CRTC_H_SYNC_B",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006814": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D2CRTC_H_SYNC_B_POL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D2CRTC_COMP_SYNC_B_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "D2CRTC_H_SYNC_B_CUTOFF",
+ "value": []
+ }
+ ],
+ "name": "D2CRTC_H_SYNC_B_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006818": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 12,
+ "description": "",
+ "name": "D2CRTC_VBI_V_END",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 28,
+ "description": "",
+ "name": "D2CRTC_VBI_H_END",
+ "value": []
+ }
+ ],
+ "name": "D2CRTC_VBI_END",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006820": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 12,
+ "description": "",
+ "name": "D2CRTC_V_TOTAL",
+ "value": []
+ }
+ ],
+ "name": "D2CRTC_V_TOTAL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006824": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 12,
+ "description": "",
+ "name": "D2CRTC_V_BLANK_START",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 28,
+ "description": "",
+ "name": "D2CRTC_V_BLANK_END",
+ "value": []
+ }
+ ],
+ "name": "D2CRTC_V_BLANK_START_END",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006828": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 12,
+ "description": "",
+ "name": "D2CRTC_V_SYNC_A_START",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 28,
+ "description": "",
+ "name": "D2CRTC_V_SYNC_A_END",
+ "value": []
+ }
+ ],
+ "name": "D2CRTC_V_SYNC_A",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000682C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D2CRTC_V_SYNC_A_POL",
+ "value": []
+ }
+ ],
+ "name": "D2CRTC_V_SYNC_A_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006830": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 12,
+ "description": "",
+ "name": "D2CRTC_V_SYNC_B_START",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 28,
+ "description": "",
+ "name": "D2CRTC_V_SYNC_B_END",
+ "value": []
+ }
+ ],
+ "name": "D2CRTC_V_SYNC_B",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006834": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D2CRTC_V_SYNC_B_POL",
+ "value": []
+ }
+ ],
+ "name": "D2CRTC_V_SYNC_B_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006838": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "D2CRTC_MVP_INBAND_OUT_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 31,
+ "description": "",
+ "name": "D2CRTC_MVP_INBAND_CNTL_CHAR_I",
+ "value": []
+ }
+ ],
+ "name": "D2CRTC_MVP_INBAND_CNTL_INSERT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006840": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "MVP_CRC_BLUE_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "MVP_CRC_GREEN_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "MVP_CRC_RED_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "MVP_CRC_EN",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_MVP_CRC_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006844": {
+ "field": [],
+ "name": "D1CRTC_MVP_CRC_RESULT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006848": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "MVP_CRC2_BLUE_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "MVP_CRC2_GREEN_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "MVP_CRC2_RED_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "MVP_CRC2_EN",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_MVP_CRC2_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000684C": {
+ "field": [],
+ "name": "D1CRTC_MVP_CRC2_RESULT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006850": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "MVP_RESET_IN_BETWEEN_FRAMES",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "MVP_DDR_SC_AB_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "MVP_DDR_SC_B_START_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "MVP_FLOW_CONTROL_OUT_FORCE_",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "MVP_FLOW_CONTROL_CASCADE_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "MVP_SWAP_48BIT_EN",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_MVP_CONTROL3",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006854": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "MVP_SLAVE_DATA_CHK_EN",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_MVP_RECEIVE_CNT_CNTL1",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006858": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "MVP_SLAVE_FRAME_ERROR_CNT_RE",
+ "value": []
+ }
+ ],
+ "name": "D1CRTC_MVP_RECEIVE_CNT_CNTL2",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000685C": {
+ "field": [],
+ "name": "D2CRTC_MVP_STATUS",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006860": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "D2CRTC_TRIGA_SOURCE_SELECT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 6,
+ "description": "",
+ "name": "D2CRTC_TRIGA_POLARITY_SELECT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "D2CRTC_TRIGA_RESYNC_BYPASS_E",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 10,
+ "description": "",
+ "name": "D2CRTC_TRIGA_POLARITY_STATUS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 13,
+ "description": "",
+ "name": "D2CRTC_TRIGA_RISING_EDGE_DETE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "D2CRTC_TRIGA_FALLING_EDGE_DET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 21,
+ "description": "",
+ "name": "D2CRTC_TRIGA_FREQUENCY_SELEC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 28,
+ "description": "",
+ "name": "D2CRTC_TRIGA_DELAY",
+ "value": []
+ }
+ ],
+ "name": "D2CRTC_TRIGA_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006864": {
+ "field": [],
+ "name": "D2CRTC_TRIGA_MANUAL_TRIG",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006868": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "D2CRTC_TRIGB_SOURCE_SELECT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 6,
+ "description": "",
+ "name": "D2CRTC_TRIGB_POLARITY_SELECT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "D2CRTC_TRIGB_RESYNC_BYPASS_E",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 10,
+ "description": "",
+ "name": "D2CRTC_TRIGB_POLARITY_STATUS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 13,
+ "description": "",
+ "name": "D2CRTC_TRIGB_RISING_EDGE_DETE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "D2CRTC_TRIGB_FALLING_EDGE_DET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 21,
+ "description": "",
+ "name": "D2CRTC_TRIGB_FREQUENCY_SELEC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 28,
+ "description": "",
+ "name": "D2CRTC_TRIGB_DELAY",
+ "value": []
+ }
+ ],
+ "name": "D2CRTC_TRIGB_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000686C": {
+ "field": [],
+ "name": "D2CRTC_TRIGB_MANUAL_TRIG",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006870": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "D2CRTC_FORCE_COUNT_NOW_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "D2CRTC_FORCE_COUNT_NOW_TRIG_",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D2CRTC_FORCE_COUNT_NOW_OCCU",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "D2CRTC_FORCE_COUNT_NOW_CLEA",
+ "value": []
+ }
+ ],
+ "name": "D2CRTC_FORCE_COUNT_NOW_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006874": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 4,
+ "description": "",
+ "name": "D2CRTC_FLOW_CONTROL_SOURCE_",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "D2CRTC_FLOW_CONTROL_POLARITY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D2CRTC_FLOW_CONTROL_GRANULA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "D2CRTC_FLOW_CONTROL_INPUT_ST",
+ "value": []
+ }
+ ],
+ "name": "D2CRTC_FLOW_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006878": {
+ "field": [],
+ "name": "D2CRTC_PIXEL_DATA_READBACK",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000687C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "D2CRTC_STEREO_FORCE_NEXT_EYE",
+ "value": []
+ }
+ ],
+ "name": "D2CRTC_STEREO_FORCE_NEXT_EYE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006880": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D2CRTC_MASTER_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "D2CRTC_SYNC_RESET_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 9,
+ "description": "",
+ "name": "D2CRTC_DISABLE_POINT_CNTL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D2CRTC_CURRENT_MASTER_EN_STA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "D2CRTC_DISP_READ_REQUEST_DISA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "D2CRTC_PREFETCH_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 29,
+ "bl": 29,
+ "description": "",
+ "name": "D2CRTC_SOF_PULL_EN",
+ "value": []
+ }
+ ],
+ "name": "D2CRTC_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006884": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "D2CRTC_BLANK_DATA_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D2CRTC_BLANK_DE_MODE",
+ "value": []
+ }
+ ],
+ "name": "D2CRTC_BLANK_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006888": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D2CRTC_INTERLACE_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "D2CRTC_INTERLACE_FORCE_NEXT_F",
+ "value": []
+ }
+ ],
+ "name": "D2CRTC_INTERLACE_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000688C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D2CRTC_INTERLACE_CURRENT_FIEL",
+ "value": []
+ }
+ ],
+ "name": "D2CRTC_INTERLACE_STATUS",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006890": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 9,
+ "description": "",
+ "name": "D2CRTC_BLANK_DATA_COLOR_BLUE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 19,
+ "description": "",
+ "name": "D2CRTC_BLANK_DATA_COLOR_GREE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 29,
+ "description": "",
+ "name": "D2CRTC_BLANK_DATA_COLOR_RED_",
+ "value": []
+ }
+ ],
+ "name": "D2CRTC_BLANK_DATA_COLOR",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006894": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 9,
+ "description": "",
+ "name": "D2CRTC_OVERSCAN_COLOR_BLUE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 19,
+ "description": "",
+ "name": "D2CRTC_OVERSCAN_COLOR_GREEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 29,
+ "description": "",
+ "name": "D2CRTC_OVERSCAN_COLOR_RED",
+ "value": []
+ }
+ ],
+ "name": "D2CRTC_OVERSCAN_COLOR",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006898": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 9,
+ "description": "",
+ "name": "D2CRTC_BLACK_COLOR_B_CB",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 19,
+ "description": "",
+ "name": "D2CRTC_BLACK_COLOR_G_Y",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 29,
+ "description": "",
+ "name": "D2CRTC_BLACK_COLOR_R_CR",
+ "value": []
+ }
+ ],
+ "name": "D2CRTC_BLACK_COLOR",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000689C": {
+ "field": [],
+ "name": "D2CRTC_STATUS",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000068A0": {
+ "field": [],
+ "name": "D2CRTC_STATUS_POSITION",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000068A4": {
+ "field": [],
+ "name": "D2CRTC_STATUS_FRAME_COUNT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000068A8": {
+ "field": [],
+ "name": "D2CRTC_STATUS_VF_COUNT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000068AC": {
+ "field": [],
+ "name": "D2CRTC_STATUS_HV_COUNT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000068B0": {
+ "field": [],
+ "name": "D2CRTC_COUNT_RESET",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000068B4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D2CRTC_HORZ_COUNT_BY2_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "D2CRTC_HORZ_REPETITION_COUNT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D2CRTC_MANUAL_FORCE_VSYNC_NE",
+ "value": []
+ }
+ ],
+ "name": "D2CRTC_COUNT_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000068BC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D2CRTC_FORCE_VSYNC_NEXT_LINE_",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "D2CRTC_AUTO_FORCE_VSYNC_MOD",
+ "value": []
+ }
+ ],
+ "name": "D2CRTC_VERT_SYNC_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000068C0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 25,
+ "description": "",
+ "name": "D2CRTC_STEREO_FORCE_NEXT_EYE",
+ "value": []
+ }
+ ],
+ "name": "D2CRTC_STEREO_STATUS",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000068C4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "D2CRTC_STEREO_SYNC_OUTPUT_PO",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D2CRTC_STEREO_SYNC_SELECT_PO",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "D2CRTC_STEREO_EN",
+ "value": []
+ }
+ ],
+ "name": "D2CRTC_STEREO_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000068C8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "D2CRTC_SNAPSHOT_MANUAL_TRIGG",
+ "value": []
+ }
+ ],
+ "name": "D2CRTC_SNAPSHOT_STATUS",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000068CC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "D2CRTC_AUTO_SNAPSHOT_TRIG_SE",
+ "value": []
+ }
+ ],
+ "name": "D2CRTC_SNAPSHOT_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000068D0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 12,
+ "description": "",
+ "name": "D2CRTC_SNAPSHOT_VERT_COUNT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 28,
+ "description": "",
+ "name": "D2CRTC_SNAPSHOT_HORZ_COUNT",
+ "value": []
+ }
+ ],
+ "name": "D2CRTC_SNAPSHOT_POSITION",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000068D4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 23,
+ "description": "",
+ "name": "D2CRTC_SNAPSHOT_FRAME_COUNT",
+ "value": []
+ }
+ ],
+ "name": "D2CRTC_SNAPSHOT_FRAME",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000068D8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D2CRTC_PROGRESSIVE_START_LINE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "D2CRTC_INTERLACE_START_LINE_EA",
+ "value": []
+ }
+ ],
+ "name": "D2CRTC_START_LINE_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000068DC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D2CRTC_SNAPSHOT_INT_MSK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "D2CRTC_SNAPSHOT_INT_TYPE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "D2CRTC_V_UPDATE_INT_MSK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "D2CRTC_V_UPDATE_INT_TYPE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "D2CRTC_FORCE_COUNT_NOW_INT_M",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "D2CRTC_FORCE_COUNT_NOW_INT_T",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D2CRTC_FORCE_VSYNC_NEXT_LINE_",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "D2CRTC_TRIGA_INT_MSK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "D2CRTC_TRIGB_INT_MSK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 26,
+ "description": "",
+ "name": "D2CRTC_TRIGA_INT_TYPE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 27,
+ "bl": 27,
+ "description": "",
+ "name": "D2CRTC_TRIGB_INT_TYPE",
+ "value": []
+ }
+ ],
+ "name": "D2CRTC_INTERRUPT_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000068E0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D2MODE_MASTER_UPDATE_LOCK",
+ "value": []
+ }
+ ],
+ "name": "D2MODE_MASTER_UPDATE_LOCK",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000068E4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "D2MODE_MASTER_UPDATE_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "D2MODE_MASTER_UPDATE_INTERLA",
+ "value": []
+ }
+ ],
+ "name": "D2MODE_MASTER_UPDATE_MODE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000068E8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D2CRTC_UPDATE_LOCK",
+ "value": []
+ }
+ ],
+ "name": "D2CRTC_UPDATE_LOCK",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000068EC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "D2CRTC_UPDATE_INSTANTLY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D2CRTC_BLANK_DATA_DOUBLE_BUF",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D2CRTC_VGA_PARAMETER_CAPTUR",
+ "value": []
+ }
+ ],
+ "name": "D2CRTC_DOUBLE_BUFFER_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006900": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D2GRPH_ENABLE",
+ "value": []
+ }
+ ],
+ "name": "D2GRPH_ENABLE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006904": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "D2GRPH_DEPTH",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 5,
+ "description": "",
+ "name": "D2GRPH_Z",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 10,
+ "description": "",
+ "name": "D2GRPH_FORMAT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "D2GRPH_TILE_COMPACT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D2GRPH_ADDRESS_TRANSLATION_E",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "D2GRPH_PRIVILEGED_ACCESS_ENAB",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 23,
+ "description": "",
+ "name": "D2GRPH_ARRAY_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 25,
+ "description": "",
+ "name": "D2GRPH_16BIT_ALPHA_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 30,
+ "description": "",
+ "name": "D2GRPH_16BIT_FIXED_ALPHA_RANG",
+ "value": []
+ }
+ ],
+ "name": "D2GRPH_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006908": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D2GRPH_LUT_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "D2GRPH_LUT_10BIT_BYPASS_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D2GRPH_LUT_10BIT_BYPASS_DBL_B",
+ "value": []
+ }
+ ],
+ "name": "D2GRPH_LUT_SEL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000690C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "D2GRPH_ENDIAN_SWAP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 5,
+ "description": "",
+ "name": "D2GRPH_RED_CROSSBAR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 7,
+ "description": "",
+ "name": "D2GRPH_GREEN_CROSSBAR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 9,
+ "description": "",
+ "name": "D2GRPH_BLUE_CROSSBAR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 11,
+ "description": "",
+ "name": "D2GRPH_ALPHA_CROSSBAR",
+ "value": []
+ }
+ ],
+ "name": "D2GRPH_SWAP_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006910": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D2GRPH_PRIMARY_DFQ_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 31,
+ "description": "",
+ "name": "D2GRPH_PRIMARY_SURFACE_ADDRE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D2GRPH_SECONDARY_DFQ_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 31,
+ "description": "",
+ "name": "D2GRPH_SECONDARY_SURFACE_AD",
+ "value": []
+ }
+ ],
+ "name": "D2GRPH_PRIMARY_SURFACE_ADDRESS",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006920": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 13,
+ "description": "",
+ "name": "D2GRPH_PITCH",
+ "value": []
+ }
+ ],
+ "name": "D2GRPH_PITCH",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006924": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 12,
+ "description": "",
+ "name": "D2GRPH_SURFACE_OFFSET_X",
+ "value": []
+ }
+ ],
+ "name": "D2GRPH_SURFACE_OFFSET_X",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006928": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 12,
+ "description": "",
+ "name": "D2GRPH_SURFACE_OFFSET_Y",
+ "value": []
+ }
+ ],
+ "name": "D2GRPH_SURFACE_OFFSET_Y",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000692C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 12,
+ "description": "",
+ "name": "D2GRPH_X_START",
+ "value": []
+ }
+ ],
+ "name": "D2GRPH_X_START",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006930": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 12,
+ "description": "",
+ "name": "D2GRPH_Y_START",
+ "value": []
+ }
+ ],
+ "name": "D2GRPH_Y_START",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006934": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 13,
+ "description": "",
+ "name": "D2GRPH_X_END",
+ "value": []
+ }
+ ],
+ "name": "D2GRPH_X_END",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006938": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 13,
+ "description": "",
+ "name": "D2GRPH_Y_END",
+ "value": []
+ }
+ ],
+ "name": "D2GRPH_Y_END",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000693C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "D2COLOR_SUBSAMPLE_CRCB_MODE",
+ "value": []
+ }
+ ],
+ "name": "D2COLOR_SPACE_CONVERT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006944": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D2GRPH_MODE_UPDATE_PENDING",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "D2GRPH_SURFACE_UPDATE_PENDIN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "D2GRPH_SURFACE_UPDATE_TAKEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D2GRPH_UPDATE_LOCK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "D2GRPH_MODE_DISABLE_MULTIPLE_",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "D2GRPH_SURFACE_DISABLE_MULTIP",
+ "value": []
+ }
+ ],
+ "name": "D2GRPH_UPDATE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006948": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D2GRPH_SURFACE_UPDATE_H_RETR",
+ "value": []
+ }
+ ],
+ "name": "D2GRPH_FLIP_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000694C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 31,
+ "description": "",
+ "name": "D2GRPH_SURFACE_ADDRESS_INUSE",
+ "value": []
+ }
+ ],
+ "name": "D2GRPH_SURFACE_ADDRESS_INUSE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006980": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D2OVL_ENABLE",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_ENABLE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006984": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "D2OVL_DEPTH",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 5,
+ "description": "",
+ "name": "D2OVL_Z",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 10,
+ "description": "",
+ "name": "D2OVL_FORMAT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "D2OVL_TILE_COMPACT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D2OVL_ADDRESS_TRANSLATION_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "D2OVL_PRIVILEGED_ACCESS_ENABL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 23,
+ "description": "",
+ "name": "D2OVL_ARRAY_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "D2OVL_COLOR_EXPANSION_MODE",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_CONTROL1",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006988": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D2OVL_HALF_RESOLUTION_ENABLE",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_CONTROL2",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000698C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "D2OVL_ENDIAN_SWAP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 5,
+ "description": "",
+ "name": "D2OVL_RED_CROSSBAR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 7,
+ "description": "",
+ "name": "D2OVL_GREEN_CROSSBAR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 9,
+ "description": "",
+ "name": "D2OVL_BLUE_CROSSBAR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 11,
+ "description": "",
+ "name": "D2OVL_ALPHA_CROSSBAR",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_SWAP_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006990": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D2OVL_DFQ_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 31,
+ "description": "",
+ "name": "D2OVL_SURFACE_ADDRESS",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_SURFACE_ADDRESS",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006998": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 13,
+ "description": "",
+ "name": "D2OVL_PITCH",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_PITCH",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000699C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 12,
+ "description": "",
+ "name": "D2OVL_SURFACE_OFFSET_X",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_SURFACE_OFFSET_X",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000069A0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 12,
+ "description": "",
+ "name": "D2OVL_SURFACE_OFFSET_Y",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_SURFACE_OFFSET_Y",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000069A4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 12,
+ "description": "",
+ "name": "D2OVL_Y_START",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 28,
+ "description": "",
+ "name": "D2OVL_X_START",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_START",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000069A8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 13,
+ "description": "",
+ "name": "D2OVL_Y_END",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 29,
+ "description": "",
+ "name": "D2OVL_X_END",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_END",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000069AC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D2OVL_UPDATE_LOCK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "D2OVL_DISABLE_MULTIPLE_UPDATE",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_UPDATE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000069B0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 31,
+ "description": "",
+ "name": "D2OVL_SURFACE_ADDRESS_INUSE",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_SURFACE_ADDRESS_INUSE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000069B4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D2OVL_DFQ_RESET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 6,
+ "description": "",
+ "name": "D2OVL_DFQ_SIZE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 10,
+ "description": "",
+ "name": "D2OVL_DFQ_MIN_FREE_ENTRIES",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_DFQ_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000069B8": {
+ "field": [],
+ "name": "D2OVL_DFQ_STATUS",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006A00": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D2OVL_MATRIX_TRANSFORM_EN",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_MATRIX_TRANSFORM_EN",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006A04": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 18,
+ "description": "",
+ "name": "D2OVL_MATRIX_COEF_1_1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D2OVL_MATRIX_SIGN_1_1",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_MATRIX_COEF_1_1",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006A08": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 18,
+ "description": "",
+ "name": "D2OVL_MATRIX_COEF_1_2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D2OVL_MATRIX_SIGN_1_2",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_MATRIX_COEF_1_2",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006A0C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 18,
+ "description": "",
+ "name": "D2OVL_MATRIX_COEF_1_3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D2OVL_MATRIX_SIGN_1_3",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_MATRIX_COEF_1_3",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006A10": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 26,
+ "description": "",
+ "name": "D2OVL_MATRIX_COEF_1_4",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D2OVL_MATRIX_SIGN_1_4",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_MATRIX_COEF_1_4",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006A14": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 18,
+ "description": "",
+ "name": "D2OVL_MATRIX_COEF_2_1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D2OVL_MATRIX_SIGN_2_1",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_MATRIX_COEF_2_1",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006A18": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 18,
+ "description": "",
+ "name": "D2OVL_MATRIX_COEF_2_2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D2OVL_MATRIX_SIGN_2_2",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_MATRIX_COEF_2_2",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006A1C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 18,
+ "description": "",
+ "name": "D2OVL_MATRIX_COEF_2_3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D2OVL_MATRIX_SIGN_2_3",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_MATRIX_COEF_2_3",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006A20": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 26,
+ "description": "",
+ "name": "D2OVL_MATRIX_COEF_2_4",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D2OVL_MATRIX_SIGN_2_4",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_MATRIX_COEF_2_4",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006A24": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 18,
+ "description": "",
+ "name": "D2OVL_MATRIX_COEF_3_1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D2OVL_MATRIX_SIGN_3_1",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_MATRIX_COEF_3_1",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006A28": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 18,
+ "description": "",
+ "name": "D2OVL_MATRIX_COEF_3_2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D2OVL_MATRIX_SIGN_3_2",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_MATRIX_COEF_3_2",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006A2C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 18,
+ "description": "",
+ "name": "D2OVL_MATRIX_COEF_3_3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D2OVL_MATRIX_SIGN_3_3",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_MATRIX_COEF_3_3",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006A30": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 26,
+ "description": "",
+ "name": "D2OVL_MATRIX_COEF_3_4",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D2OVL_MATRIX_SIGN_3_4",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "D2OVL_COLOR_MATRIX_TRANSFORM",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_MATRIX_COEF_3_4",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006A80": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D2OVL_PWL_TRANSFORM_EN",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_PWL_TRANSFORM_EN",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006A84": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 8,
+ "description": "",
+ "name": "D2OVL_PWL_0TOF_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 26,
+ "description": "",
+ "name": "D2OVL_PWL_0TOF_SLOPE",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_PWL_0TOF",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006A88": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 8,
+ "description": "",
+ "name": "D2OVL_PWL_10TO1F_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 26,
+ "description": "",
+ "name": "D2OVL_PWL_10TO1F_SLOPE",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_PWL_10TO1F",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006A8C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 9,
+ "description": "",
+ "name": "D2OVL_PWL_20TO3F_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 25,
+ "description": "",
+ "name": "D2OVL_PWL_20TO3F_SLOPE",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_PWL_20TO3F",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006A90": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 9,
+ "description": "",
+ "name": "D2OVL_PWL_40TO7F_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 24,
+ "description": "",
+ "name": "D2OVL_PWL_40TO7F_SLOPE",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_PWL_40TO7F",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006A94": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 10,
+ "description": "",
+ "name": "D2OVL_PWL_80TOBF_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 24,
+ "description": "",
+ "name": "D2OVL_PWL_80TOBF_SLOPE",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_PWL_80TOBF",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006A98": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 10,
+ "description": "",
+ "name": "D2OVL_PWL_C0TOFF_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 24,
+ "description": "",
+ "name": "D2OVL_PWL_C0TOFF_SLOPE",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_PWL_C0TOFF",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006A9C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 10,
+ "description": "",
+ "name": "D2OVL_PWL_100TO13F_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 24,
+ "description": "",
+ "name": "D2OVL_PWL_100TO13F_SLOPE",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_PWL_100TO13F",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006AA0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 10,
+ "description": "",
+ "name": "D2OVL_PWL_140TO17F_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 24,
+ "description": "",
+ "name": "D2OVL_PWL_140TO17F_SLOPE",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_PWL_140TO17F",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006AA4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 10,
+ "description": "",
+ "name": "D2OVL_PWL_180TO1BF_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 24,
+ "description": "",
+ "name": "D2OVL_PWL_180TO1BF_SLOPE",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_PWL_180TO1BF",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006AA8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 10,
+ "description": "",
+ "name": "D2OVL_PWL_1C0TO1FF_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 24,
+ "description": "",
+ "name": "D2OVL_PWL_1C0TO1FF_SLOPE",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_PWL_1C0TO1FF",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006AAC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 10,
+ "description": "",
+ "name": "D2OVL_PWL_200TO23F_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 24,
+ "description": "",
+ "name": "D2OVL_PWL_200TO23F_SLOPE",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_PWL_200TO23F",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006AB0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 10,
+ "description": "",
+ "name": "D2OVL_PWL_240TO27F_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 24,
+ "description": "",
+ "name": "D2OVL_PWL_240TO27F_SLOPE",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_PWL_240TO27F",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006AB4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 10,
+ "description": "",
+ "name": "D2OVL_PWL_280TO2BF_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 24,
+ "description": "",
+ "name": "D2OVL_PWL_280TO2BF_SLOPE",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_PWL_280TO2BF",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006AB8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 10,
+ "description": "",
+ "name": "D2OVL_PWL_2C0TO2FF_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 24,
+ "description": "",
+ "name": "D2OVL_PWL_2C0TO2FF_SLOPE",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_PWL_2C0TO2FF",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006ABC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 10,
+ "description": "",
+ "name": "D2OVL_PWL_300TO33F_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 24,
+ "description": "",
+ "name": "D2OVL_PWL_300TO33F_SLOPE",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_PWL_300TO33F",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006AC0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 10,
+ "description": "",
+ "name": "D2OVL_PWL_340TO37F_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 24,
+ "description": "",
+ "name": "D2OVL_PWL_340TO37F_SLOPE",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_PWL_340TO37F",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006AC4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 10,
+ "description": "",
+ "name": "D2OVL_PWL_380TO3BF_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 24,
+ "description": "",
+ "name": "D2OVL_PWL_380TO3BF_SLOPE",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_PWL_380TO3BF",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006AC8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 10,
+ "description": "",
+ "name": "D2OVL_PWL_3C0TO3FF_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 24,
+ "description": "",
+ "name": "D2OVL_PWL_3C0TO3FF_SLOPE",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_PWL_3C0TO3FF",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006B00": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "D2GRPH_KEY_FUNCTION",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 9,
+ "description": "",
+ "name": "D2OVL_KEY_FUNCTION",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D2OVL_KEY_COMPARE_MIX",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_KEY_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006B04": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "D2GRPH_ALPHA",
+ "value": []
+ }
+ ],
+ "name": "D2GRPH_ALPHA",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006B08": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "D2OVL_ALPHA",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_ALPHA",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006B0C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "D2OVL_ALPHA_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "D2OVL_ALPHA_PREMULT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D2OVL_ALPHA_INV",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_ALPHA_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006B10": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "D2GRPH_KEY_RED_LOW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 31,
+ "description": "",
+ "name": "D2GRPH_KEY_RED_HIGH",
+ "value": []
+ }
+ ],
+ "name": "D2GRPH_KEY_RANGE_RED",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006B14": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "D2GRPH_KEY_GREEN_LOW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 31,
+ "description": "",
+ "name": "D2GRPH_KEY_GREEN_HIGH",
+ "value": []
+ }
+ ],
+ "name": "D2GRPH_KEY_RANGE_GREEN",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006B18": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "D2GRPH_KEY_BLUE_LOW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 31,
+ "description": "",
+ "name": "D2GRPH_KEY_BLUE_HIGH",
+ "value": []
+ }
+ ],
+ "name": "D2GRPH_KEY_RANGE_BLUE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006B1C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "D2GRPH_KEY_ALPHA_LOW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 31,
+ "description": "",
+ "name": "D2GRPH_KEY_ALPHA_HIGH",
+ "value": []
+ }
+ ],
+ "name": "D2GRPH_KEY_RANGE_ALPHA",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006B20": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 9,
+ "description": "",
+ "name": "D2OVL_KEY_RED_CR_LOW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 25,
+ "description": "",
+ "name": "D2OVL_KEY_RED_CR_HIGH",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_KEY_RANGE_RED_CR",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006B24": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 9,
+ "description": "",
+ "name": "D2OVL_KEY_GREEN_Y_LOW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 25,
+ "description": "",
+ "name": "D2OVL_KEY_GREEN_Y_HIGH",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_KEY_RANGE_GREEN_Y",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006B28": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 9,
+ "description": "",
+ "name": "D2OVL_KEY_BLUE_CB_LOW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 25,
+ "description": "",
+ "name": "D2OVL_KEY_BLUE_CB_HIGH",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_KEY_RANGE_BLUE_CB",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006B2C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "D2OVL_KEY_ALPHA_LOW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "D2OVL_KEY_ALPHA_HIGH",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D2GRPH_COLOR_MATRIX_TRANSFOR",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_KEY_ALPHA",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006B84": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 16,
+ "description": "",
+ "name": "D2COLOR_MATRIX_COEF_1_1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D2COLOR_MATRIX_SIGN_1_1",
+ "value": []
+ }
+ ],
+ "name": "D2COLOR_MATRIX_COEF_1_1",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006B88": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "D2COLOR_MATRIX_COEF_1_2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D2COLOR_MATRIX_SIGN_1_2",
+ "value": []
+ }
+ ],
+ "name": "D2COLOR_MATRIX_COEF_1_2",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006B8C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "D2COLOR_MATRIX_COEF_1_3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D2COLOR_MATRIX_SIGN_1_3",
+ "value": []
+ }
+ ],
+ "name": "D2COLOR_MATRIX_COEF_1_3",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006B90": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 26,
+ "description": "",
+ "name": "D2COLOR_MATRIX_COEF_1_4",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D2COLOR_MATRIX_SIGN_1_4",
+ "value": []
+ }
+ ],
+ "name": "D2COLOR_MATRIX_COEF_1_4",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006B94": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "D2COLOR_MATRIX_COEF_2_1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D2COLOR_MATRIX_SIGN_2_1",
+ "value": []
+ }
+ ],
+ "name": "D2COLOR_MATRIX_COEF_2_1",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006B98": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 16,
+ "description": "",
+ "name": "D2COLOR_MATRIX_COEF_2_2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D2COLOR_MATRIX_SIGN_2_2",
+ "value": []
+ }
+ ],
+ "name": "D2COLOR_MATRIX_COEF_2_2",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006B9C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "D2COLOR_MATRIX_COEF_2_3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D2COLOR_MATRIX_SIGN_2_3",
+ "value": []
+ }
+ ],
+ "name": "D2COLOR_MATRIX_COEF_2_3",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006BA0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 26,
+ "description": "",
+ "name": "D2COLOR_MATRIX_COEF_2_4",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D2COLOR_MATRIX_SIGN_2_4",
+ "value": []
+ }
+ ],
+ "name": "D2COLOR_MATRIX_COEF_2_4",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006BA4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "D2COLOR_MATRIX_COEF_3_1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D2COLOR_MATRIX_SIGN_3_1",
+ "value": []
+ }
+ ],
+ "name": "D2COLOR_MATRIX_COEF_3_1",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006BA8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "D2COLOR_MATRIX_COEF_3_2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D2COLOR_MATRIX_SIGN_3_2",
+ "value": []
+ }
+ ],
+ "name": "D2COLOR_MATRIX_COEF_3_2",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006BAC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 16,
+ "description": "",
+ "name": "D2COLOR_MATRIX_COEF_3_3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D2COLOR_MATRIX_SIGN_3_3",
+ "value": []
+ }
+ ],
+ "name": "D2COLOR_MATRIX_COEF_3_3",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006BB0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 26,
+ "description": "",
+ "name": "D2COLOR_MATRIX_COEF_3_4",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "D2COLOR_MATRIX_SIGN_3_4",
+ "value": []
+ }
+ ],
+ "name": "D2COLOR_MATRIX_COEF_3_4",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006C00": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D2CURSOR_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 9,
+ "description": "",
+ "name": "D2CURSOR_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D2CURSOR_2X_MAGNIFY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "D2CURSOR_FORCE_MC_ON",
+ "value": []
+ }
+ ],
+ "name": "D2CUR_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006C08": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "D2CURSOR_SURFACE_ADDRESS",
+ "value": []
+ }
+ ],
+ "name": "D2CUR_SURFACE_ADDRESS",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006C10": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 5,
+ "description": "",
+ "name": "D2CURSOR_HEIGHT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 21,
+ "description": "",
+ "name": "D2CURSOR_WIDTH",
+ "value": []
+ }
+ ],
+ "name": "D2CUR_SIZE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006C14": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 12,
+ "description": "",
+ "name": "D2CURSOR_Y_POSITION",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 28,
+ "description": "",
+ "name": "D2CURSOR_X_POSITION",
+ "value": []
+ }
+ ],
+ "name": "D2CUR_POSITION",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006C18": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 5,
+ "description": "",
+ "name": "D2CURSOR_HOT_SPOT_Y",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 21,
+ "description": "",
+ "name": "D2CURSOR_HOT_SPOT_X",
+ "value": []
+ }
+ ],
+ "name": "D2CUR_HOT_SPOT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006C1C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "D2CUR_COLOR1_BLUE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "D2CUR_COLOR1_GREEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "D2CUR_COLOR1_RED",
+ "value": []
+ }
+ ],
+ "name": "D2CUR_COLOR1",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006C20": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "D2CUR_COLOR2_BLUE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "D2CUR_COLOR2_GREEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "D2CUR_COLOR2_RED",
+ "value": []
+ }
+ ],
+ "name": "D2CUR_COLOR2",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006C24": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D2CURSOR_UPDATE_LOCK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "D2CURSOR_DISABLE_MULTIPLE_UPD",
+ "value": []
+ }
+ ],
+ "name": "D2CUR_UPDATE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006C40": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D2ICON_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D2ICON_2X_MAGNIFY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "D2ICON_FORCE_MC_ON",
+ "value": []
+ }
+ ],
+ "name": "D2ICON_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006C48": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "D2ICON_SURFACE_ADDRESS",
+ "value": []
+ }
+ ],
+ "name": "D2ICON_SURFACE_ADDRESS",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006C50": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 6,
+ "description": "",
+ "name": "D2ICON_HEIGHT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 22,
+ "description": "",
+ "name": "D2ICON_WIDTH",
+ "value": []
+ }
+ ],
+ "name": "D2ICON_SIZE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006C54": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 12,
+ "description": "",
+ "name": "D2ICON_Y_POSITION",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 28,
+ "description": "",
+ "name": "D2ICON_X_POSITION",
+ "value": []
+ }
+ ],
+ "name": "D2ICON_START_POSITION",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006C58": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "D2ICON_COLOR1_BLUE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "D2ICON_COLOR1_GREEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "D2ICON_COLOR1_RED",
+ "value": []
+ }
+ ],
+ "name": "D2ICON_COLOR1",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006C5C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "D2ICON_COLOR2_BLUE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "D2ICON_COLOR2_GREEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "D2ICON_COLOR2_RED",
+ "value": []
+ }
+ ],
+ "name": "D2ICON_COLOR2",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006C60": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D2ICON_UPDATE_LOCK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "D2ICON_DISABLE_MULTIPLE_UPDATE",
+ "value": []
+ }
+ ],
+ "name": "D2ICON_UPDATE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006C80": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DCP_CRC_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "DCP_CRC_DISPLAY_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 4,
+ "description": "",
+ "name": "DCP_CRC_SOURCE_SEL",
+ "value": []
+ }
+ ],
+ "name": "DCP_CRC_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006C84": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "DCP_CRC_MASK",
+ "value": []
+ }
+ ],
+ "name": "DCP_CRC_MASK",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006C88": {
+ "field": [],
+ "name": "DCP_CRC_P0_CURRENT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006C8C": {
+ "field": [],
+ "name": "DCP_CRC_P1_CURRENT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006C90": {
+ "field": [],
+ "name": "DCP_CRC_P0_LAST",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006C94": {
+ "field": [],
+ "name": "DCP_CRC_P1_LAST",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006CA0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 3,
+ "description": "",
+ "name": "PIPE_TILING",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 5,
+ "description": "",
+ "name": "BANK_TILING",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 7,
+ "description": "",
+ "name": "GROUP_SIZE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 10,
+ "description": "",
+ "name": "ROW_TILING",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 11,
+ "bl": 13,
+ "description": "",
+ "name": "BANK_SWAPS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 14,
+ "bl": 15,
+ "description": "",
+ "name": "SAMPLE_SPLIT",
+ "value": []
+ }
+ ],
+ "name": "DCP_TILING_CONFIG",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006CA4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "LOG2_NUM_CHIPS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 4,
+ "description": "",
+ "name": "MULTI_CHIP_TILE_SIZE",
+ "value": []
+ }
+ ],
+ "name": "DCP_MULTI_CHIP_CNTL",
+ "size": 32,
+ "unit": [
+ "DMIF"
+ ]
+ },
+ "0x00006CB0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "DMIF_BUFF_SIZE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 10,
+ "description": "",
+ "name": "DMIF_D1_REQ_BURST_SIZE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 18,
+ "description": "",
+ "name": "DMIF_D2_REQ_BURST_SIZE",
+ "value": []
+ }
+ ],
+ "name": "DMIF_CONTROL",
+ "size": 32,
+ "unit": [
+ "DMIF"
+ ]
+ },
+ "0x00006CB4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DMIF_MC_LATENCY_COUNTER_ENAB",
+ "value": []
+ }
+ ],
+ "name": "DMIF_STATUS",
+ "size": 32,
+ "unit": [
+ "DMIF"
+ ]
+ },
+ "0x00006CB8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "MCIF_BUFF_SIZE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "ADDRESS_TRANSLATION_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "PRIVILEGED_ACCESS_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "LOW_READ_URG_LEVEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 29,
+ "description": "",
+ "name": "MC_CLEAN_DEASSERT_LATENCY",
+ "value": []
+ }
+ ],
+ "name": "MCIF_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006CBC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "DCP_LB_GAP_BETWEEN_CHUNK_20B",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "DCP_LB_GAP_BETWEEN_CHUNK_30B",
+ "value": []
+ }
+ ],
+ "name": "DCP_LB_DATA_GAP_BETWEEN_CHUNK",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006CC0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "DC_LUTB_INC_B",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "DC_LUTB_DATA_B_SIGNED_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "DC_LUTB_DATA_B_FLOAT_POINT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "DC_LUTB_INC_G",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "DC_LUTB_DATA_G_SIGNED_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "DC_LUTB_DATA_G_FLOAT_POINT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "DC_LUTB_INC_R",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "DC_LUTB_DATA_R_SIGNED_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 21,
+ "description": "",
+ "name": "DC_LUTB_DATA_R_FLOAT_POINT_EN",
+ "value": []
+ }
+ ],
+ "name": "DC_LUTB_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006CC4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "DC_LUTB_BLACK_OFFSET_BLUE",
+ "value": []
+ }
+ ],
+ "name": "DC_LUTB_BLACK_OFFSET_BLUE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006CC8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "DC_LUTB_BLACK_OFFSET_GREEN",
+ "value": []
+ }
+ ],
+ "name": "DC_LUTB_BLACK_OFFSET_GREEN",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006CCC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "DC_LUTB_BLACK_OFFSET_RED",
+ "value": []
+ }
+ ],
+ "name": "DC_LUTB_BLACK_OFFSET_RED",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006CD0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "DC_LUTB_WHITE_OFFSET_BLUE",
+ "value": []
+ }
+ ],
+ "name": "DC_LUTB_WHITE_OFFSET_BLUE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006CD4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "DC_LUTB_WHITE_OFFSET_GREEN",
+ "value": []
+ }
+ ],
+ "name": "DC_LUTB_WHITE_OFFSET_GREEN",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006CD8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 15,
+ "description": "",
+ "name": "DC_LUTB_WHITE_OFFSET_RED",
+ "value": []
+ }
+ ],
+ "name": "DC_LUTB_WHITE_OFFSET_RED",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006D00": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D2OVL_RT_CLEAR_GOBBLE_COUNT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "D2OVL_RT_CLEAR_SUBMIT_COUNT",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_RT_SKEWCOMMAND",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006D04": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "D2OVL_RT_CAPS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 6,
+ "description": "",
+ "name": "D2OVL_RT_SKEW_MAX",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_RT_SKEWCONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006D08": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 13,
+ "description": "",
+ "name": "D2OVL_RT_TOP_SCAN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 29,
+ "description": "",
+ "name": "D2OVL_RT_BTM_SCAN",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_RT_BAND_POSITION",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006D0C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D2OVL_RT_REDUCE_DELAY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "D2OVL_RT_RT_FLIP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "D2OVL_RT_PROCEED_ON_EOF_DISA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "D2OVL_RT_WITH_HELD_ON_SOF",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 29,
+ "description": "",
+ "name": "D2OVL_RT_TEAR_PROOF_HEIGHT",
+ "value": []
+ }
+ ],
+ "name": "D2OVL_RT_PROCEED_COND",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006D10": {
+ "field": [],
+ "name": "D2OVL_RT_STAT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006D34": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D2MODE_VBLANK_OCCURRED",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "D2MODE_VBLANK_ACK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "D2MODE_VBLANK_STAT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D2MODE_VBLANK_INTERRUPT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "D2MODE_VBLANK_INTERRUPT_TYPE",
+ "value": []
+ }
+ ],
+ "name": "D2MODE_VBLANK_STATUS",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00006D3C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "D2MODE_VLINE_OCCURRED",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "D2MODE_VLINE_ACK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "D2MODE_VLINE_STAT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D2MODE_VLINE_INTERRUPT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "D2MODE_VLINE_INTERRUPT_TYPE",
+ "value": []
+ }
+ ],
+ "name": "D2MODE_VLINE_STATUS",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007800": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DACA_ENABLE",
+ "value": []
+ }
+ ],
+ "name": "DACA_ENABLE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007804": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "DACA_SOURCE_SELECT",
+ "value": []
+ }
+ ],
+ "name": "DACA_SOURCE_SELECT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007808": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DACA_CRC_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "DACA_CRC_CONT_EN",
+ "value": []
+ }
+ ],
+ "name": "DACA_CRC_EN",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000780C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DACA_CRC_FIELD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DACA_CRC_ONLY_BLANKb",
+ "value": []
+ }
+ ],
+ "name": "DACA_CRC_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007810": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 9,
+ "description": "",
+ "name": "DACA_CRC_SIG_BLUE_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 19,
+ "description": "",
+ "name": "DACA_CRC_SIG_GREEN_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 29,
+ "description": "",
+ "name": "DACA_CRC_SIG_RED_MASK",
+ "value": []
+ }
+ ],
+ "name": "DACA_CRC_SIG_RGB_MASK",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007814": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 5,
+ "description": "",
+ "name": "DACA_CRC_SIG_CONTROL_MASK",
+ "value": []
+ }
+ ],
+ "name": "DACA_CRC_SIG_CONTROL_MASK",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007818": {
+ "field": [],
+ "name": "DACA_CRC_SIG_RGB",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000781C": {
+ "field": [],
+ "name": "DACA_CRC_SIG_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007820": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DACA_HSYNCA_TRISTATE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DACA_VSYNCA_TRISTATE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "DACA_SYNCA_TRISTATE",
+ "value": []
+ }
+ ],
+ "name": "DACA_SYNC_TRISTATE_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007824": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DACA_SYNC_SELECT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DACA_STEREOSYNC_SELECT",
+ "value": []
+ }
+ ],
+ "name": "DACA_SYNC_SELECT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007828": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "DACA_AUTODETECT_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "DACA_AUTODETECT_FRAME_TIME_C",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 18,
+ "description": "",
+ "name": "DACA_AUTODETECT_CHECK_MASK",
+ "value": []
+ }
+ ],
+ "name": "DACA_AUTODETECT_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000782C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "DACA_AUTODETECT_POWERUP_COU",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DACA_AUTODETECT_TESTMODE",
+ "value": []
+ }
+ ],
+ "name": "DACA_AUTODETECT_CONTROL2",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007830": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "DACA_AUTODET_COMPARATOR_IN_D",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "DACA_AUTODET_COMPARATOR_OUT",
+ "value": []
+ }
+ ],
+ "name": "DACA_AUTODETECT_CONTROL3",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007834": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "DACA_AUTODETECT_GREEN_SENSE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 25,
+ "description": "",
+ "name": "DACA_AUTODETECT_BLUE_SENSE",
+ "value": []
+ }
+ ],
+ "name": "DACA_AUTODETECT_STATUS",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007838": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "DACA_AUTODETECT_INT_ENABLE",
+ "value": []
+ }
+ ],
+ "name": "DACA_AUTODETECT_INT_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000783C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DACA_FORCE_DATA_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 10,
+ "description": "",
+ "name": "DACA_FORCE_DATA_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "DACA_FORCE_DATA_ON_BLANKb_ON",
+ "value": []
+ }
+ ],
+ "name": "DACA_FORCE_OUTPUT_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007840": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 9,
+ "description": "",
+ "name": "DACA_FORCE_DATA",
+ "value": []
+ }
+ ],
+ "name": "DACA_FORCE_DATA",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007850": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DACA_POWERDOWN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DACA_POWERDOWN_BLUE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "DACA_POWERDOWN_GREEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "DACA_POWERDOWN_RED",
+ "value": []
+ }
+ ],
+ "name": "DACA_POWERDOWN",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007854": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "DACA_WHITE_LEVEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 13,
+ "description": "",
+ "name": "DACA_WHITE_FINE_CONTROL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 21,
+ "description": "",
+ "name": "DACA_BANDGAP_ADJUSTMENT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 27,
+ "description": "",
+ "name": "DACA_ANALOG_MONITOR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "DACA_COREMON",
+ "value": []
+ }
+ ],
+ "name": "DACA_CONTROL1",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007858": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DACA_DFORCE_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DACA_TV_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "DACA_ZSCALE_SHIFT",
+ "value": []
+ }
+ ],
+ "name": "DACA_CONTROL2",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000785C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DACA_COMP_DDET_REF_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DACA_COMP_SDET_REF_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "DACA_R_ASYNC_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "DACA_G_ASYNC_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 18,
+ "description": "",
+ "name": "DACA_B_ASYNC_ENABLE",
+ "value": []
+ }
+ ],
+ "name": "DACA_COMPARATOR_ENABLE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007860": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "DACA_COMPARATOR_OUTPUT_BLUE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "DACA_COMPARATOR_OUTPUT_GREE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "DACA_COMPARATOR_OUTPUT_RED",
+ "value": []
+ }
+ ],
+ "name": "DACA_COMPARATOR_OUTPUT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007864": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DACA_TEST_ENABLE",
+ "value": []
+ }
+ ],
+ "name": "DACA_TEST_ENABLE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007868": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "DACA_BG_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "DACA_PWRCNTL",
+ "value": []
+ }
+ ],
+ "name": "DACA_PWR_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000786C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "DACA_DFT_CONFIG",
+ "value": []
+ }
+ ],
+ "name": "DACA_DFT_CONFIG",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007880": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "TMDSA_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "TMDSA_HDMI_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "TMDSA_ENABLE_HPD_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 9,
+ "description": "",
+ "name": "TMDSA_HPD_SELECT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "TMDSA_SYNC_PHASE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "TMDSA_PIXEL_ENCODING",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "TMDSA_DUAL_LINK_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "TMDSA_SWAP",
+ "value": []
+ }
+ ],
+ "name": "TMDSA_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007884": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "TMDSA_SOURCE_SELECT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "TMDSA_SYNC_SELECT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "TMDSA_STEREOSYNC_SELECT",
+ "value": []
+ }
+ ],
+ "name": "TMDSA_SOURCE_SELECT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007888": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "TMDSA_COLOR_FORMAT",
+ "value": []
+ }
+ ],
+ "name": "TMDSA_COLOR_FORMAT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000788C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "TMDSA_FORCE_DATA_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 10,
+ "description": "",
+ "name": "TMDSA_FORCE_DATA_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "TMDSA_FORCE_DATA_ON_BLANKb_O",
+ "value": []
+ }
+ ],
+ "name": "TMDSA_FORCE_OUTPUT_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007890": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "TMDSA_FORCE_DATA",
+ "value": []
+ }
+ ],
+ "name": "TMDSA_FORCE_DATA",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007894": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "TMDSA_TRUNCATE_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "TMDSA_TRUNCATE_DEPTH",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "TMDSA_SPATIAL_DITHER_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 10,
+ "description": "",
+ "name": "TMDSA_SPATIAL_DITHER_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "TMDSA_SPATIAL_DITHER_DEPTH",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "TMDSA_FRAME_RANDOM_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 14,
+ "bl": 14,
+ "description": "",
+ "name": "TMDSA_RGB_RANDOM_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 15,
+ "description": "",
+ "name": "TMDSA_HIGHPASS_RANDOM_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "TMDSA_TEMPORAL_DITHER_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "TMDSA_TEMPORAL_DITHER_DEPTH",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 22,
+ "description": "",
+ "name": "TMDSA_TEMPORAL_DITHER_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "TMDSA_TEMPORAL_LEVEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "TMDSA_TEMPORAL_DITHER_RESET",
+ "value": []
+ }
+ ],
+ "name": "TMDSA_BIT_DEPTH_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007898": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "TMDSA_CONTROL_CHAR0_OUT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "TMDSA_CONTROL_CHAR1_OUT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "TMDSA_CONTROL_CHAR2_OUT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "TMDSA_CONTROL_CHAR3_OUT_EN",
+ "value": []
+ }
+ ],
+ "name": "TMDSA_CONTROL_CHAR",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000789C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "TMDSA_CONTROL0_FEEDBACK_SELE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 9,
+ "description": "",
+ "name": "TMDSA_CONTROL0_FEEDBACK_DELA",
+ "value": []
+ }
+ ],
+ "name": "TMDSA_CONTROL0_FEEDBACK",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000078A0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "TMDSA_STEREOSYNC_CTL_SEL",
+ "value": []
+ }
+ ],
+ "name": "TMDSA_STEREOSYNC_CTL_SEL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000078A4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "TMDSA_SYNC_CHAR_PATTERN_SEL",
+ "value": []
+ }
+ ],
+ "name": "TMDSA_SYNC_CHAR_PATTERN_SEL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000078A8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 9,
+ "description": "",
+ "name": "TMDSA_SYNC_CHAR_PATTERN0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 25,
+ "description": "",
+ "name": "TMDSA_SYNC_CHAR_PATTERN1",
+ "value": []
+ }
+ ],
+ "name": "TMDSA_SYNC_CHAR_PATTERN_0_1",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000078AC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 9,
+ "description": "",
+ "name": "TMDSA_SYNC_CHAR_PATTERN2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 25,
+ "description": "",
+ "name": "TMDSA_SYNC_CHAR_PATTERN3",
+ "value": []
+ }
+ ],
+ "name": "TMDSA_SYNC_CHAR_PATTERN_2_3",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000078B0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "TMDSA_CRC_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "TMDSA_CRC_CONT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "TMDSA_CRC_ONLY_BLANKb",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "TMDSA_CRC_FIELD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "TMDSA_2ND_CRC_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "TMDSA_2ND_CRC_LINK_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 25,
+ "description": "",
+ "name": "TMDSA_2ND_CRC_DATA_SEL",
+ "value": []
+ }
+ ],
+ "name": "TMDSA_CRC_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000078B4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "TMDSA_CRC_SIG_BLUE_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "TMDSA_CRC_SIG_GREEN_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "TMDSA_CRC_SIG_RED_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 26,
+ "description": "",
+ "name": "TMDSA_CRC_SIG_CONTROL_MASK",
+ "value": []
+ }
+ ],
+ "name": "TMDSA_CRC_SIG_MASK",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000078B8": {
+ "field": [],
+ "name": "TMDSA_CRC_SIG_RGB",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000078BC": {
+ "field": [],
+ "name": "TMDSA_2ND_CRC_RESULT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000078C0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "TMDSA_TEST_PATTERN_OUT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "TMDSA_HALF_CLOCK_PATTERN_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "TMDSA_RANDOM_PATTERN_OUT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "TMDSA_RANDOM_PATTERN_RESET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "TMDSA_TEST_PATTERN_EXTERNAL_",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 25,
+ "description": "",
+ "name": "TMDSA_STATIC_TEST_PATTERN",
+ "value": []
+ }
+ ],
+ "name": "TMDSA_TEST_PATTERN",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000078C4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 23,
+ "description": "",
+ "name": "TMDSA_RANDOM_PATTERN_SEED",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "TMDSA_RAN_PAT_DURING_DE_ONLY",
+ "value": []
+ }
+ ],
+ "name": "TMDSA_RANDOM_PATTERN_SEED",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000078C8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "TMDSA_DEBUG_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "TMDSA_DEBUG_HSYNC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "TMDSA_DEBUG_HSYNC_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "TMDSA_DEBUG_VSYNC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "TMDSA_DEBUG_VSYNC_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "TMDSA_DEBUG_DE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "TMDSA_DEBUG_DE_EN",
+ "value": []
+ }
+ ],
+ "name": "TMDSA_DEBUG",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000078CC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "TMDSA_CTL0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "TMDSA_CTL1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "TMDSA_CTL2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "TMDSA_CTL3",
+ "value": []
+ }
+ ],
+ "name": "TMDSA_CTL_BITS",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000078D0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "TMDSA_DCBALANCER_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "TMDSA_DCBALANCER_TEST_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "TMDSA_DCBALANCER_TEST_IN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "TMDSA_DCBALANCER_FORCE",
+ "value": []
+ }
+ ],
+ "name": "TMDSA_DCBALANCER_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000078D4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "TMDSA_RB_SWITCH_EN",
+ "value": []
+ }
+ ],
+ "name": "TMDSA_RED_BLUE_SWITCH",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000078DC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "TMDSA_DSYNSEL",
+ "value": []
+ }
+ ],
+ "name": "TMDSA_DATA_SYNCHRONIZATION",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000078E0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "TMDSA_CTL0_DATA_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 6,
+ "description": "",
+ "name": "TMDSA_CTL0_DATA_DELAY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "TMDSA_CTL0_DATA_INVERT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 9,
+ "description": "",
+ "name": "TMDSA_CTL0_DATA_MODULATION",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 10,
+ "description": "",
+ "name": "TMDSA_CTL0_USE_FEEDBACK_PATH",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 11,
+ "bl": 11,
+ "description": "",
+ "name": "TMDSA_CTL0_FB_SYNC_CONT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "TMDSA_CTL0_PATTERN_OUT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "TMDSA_CTL1_DATA_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 22,
+ "description": "",
+ "name": "TMDSA_CTL1_DATA_DELAY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 23,
+ "bl": 23,
+ "description": "",
+ "name": "TMDSA_CTL1_DATA_INVERT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 25,
+ "description": "",
+ "name": "TMDSA_CTL1_DATA_MODULATION",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 26,
+ "description": "",
+ "name": "TMDSA_CTL1_USE_FEEDBACK_PATH",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 27,
+ "bl": 27,
+ "description": "",
+ "name": "TMDSA_CTL1_FB_SYNC_CONT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "TMDSA_CTL1_PATTERN_OUT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "TMDSA_2BIT_COUNTER_EN",
+ "value": []
+ }
+ ],
+ "name": "TMDSA_CTL0_1_GEN_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000078E4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "TMDSA_CTL2_DATA_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 6,
+ "description": "",
+ "name": "TMDSA_CTL2_DATA_DELAY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "TMDSA_CTL2_DATA_INVERT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 9,
+ "description": "",
+ "name": "TMDSA_CTL2_DATA_MODULATION",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 10,
+ "description": "",
+ "name": "TMDSA_CTL2_USE_FEEDBACK_PATH",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 11,
+ "bl": 11,
+ "description": "",
+ "name": "TMDSA_CTL2_FB_SYNC_CONT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "TMDSA_CTL2_PATTERN_OUT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "TMDSA_CTL3_DATA_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 22,
+ "description": "",
+ "name": "TMDSA_CTL3_DATA_DELAY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 23,
+ "bl": 23,
+ "description": "",
+ "name": "TMDSA_CTL3_DATA_INVERT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 25,
+ "description": "",
+ "name": "TMDSA_CTL3_DATA_MODULATION",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 26,
+ "description": "",
+ "name": "TMDSA_CTL3_USE_FEEDBACK_PATH",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 27,
+ "bl": 27,
+ "description": "",
+ "name": "TMDSA_CTL3_FB_SYNC_CONT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "TMDSA_CTL3_PATTERN_OUT_EN",
+ "value": []
+ }
+ ],
+ "name": "TMDSA_CTL2_3_GEN_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007904": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "TMDSA_TX0_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "TMDSA_LNKC0EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "TMDSA_LNKD00EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "TMDSA_LNKD01EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "TMDSA_LNKD02EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "TMDSA_TX1_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "TMDSA_LNKC1EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 10,
+ "description": "",
+ "name": "TMDSA_LNKD10EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 11,
+ "bl": 11,
+ "description": "",
+ "name": "TMDSA_LNKD11EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "TMDSA_LNKD12EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "TMDSA_TX_ENABLE_HPD_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "TMDSA_LNKCEN_HPD_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 18,
+ "description": "",
+ "name": "TMDSA_LNKDEN_HPD_MASK",
+ "value": []
+ }
+ ],
+ "name": "TMDSA_TRANSMITTER_ENABLE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007908": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "TMDSA_LOAD_DETECT_ENABLE",
+ "value": []
+ }
+ ],
+ "name": "TMDSA_LOAD_DETECT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000790C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 5,
+ "description": "",
+ "name": "TMDSA_PLL_CP_GAIN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 13,
+ "description": "",
+ "name": "TMDSA_PLL_VCO_GAIN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "TMDSA_PLL_DUTY_CYCLE",
+ "value": []
+ }
+ ],
+ "name": "TMDSA_PLL_ADJUST",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007910": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "TMDSA_PLL_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "TMDSA_PLL_RESET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 3,
+ "description": "",
+ "name": "TMDSA_PLL_ENABLE_HPD_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "TMDSA_IDSCKSELA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "TMDSA_IDSCKSELB",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "TMDSA_PLL_PWRUP_SEQ_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "TMDSA_PLL_RESET_HPD_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 12,
+ "description": "",
+ "name": "TMDSA_TMCLK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "TMDSA_TMCLK_FROM_PADS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 14,
+ "bl": 14,
+ "description": "",
+ "name": "TMDSA_TDCLK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 15,
+ "description": "",
+ "name": "TMDSA_TDCLK_FROM_PADS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "TMDSA_PLLSEL_OVERWRITE_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "TMDSA_PLLSELA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 18,
+ "description": "",
+ "name": "TMDSA_PLLSELB",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "TMDSA_BYPASS_PLLA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 29,
+ "bl": 29,
+ "description": "",
+ "name": "TMDSA_BYPASS_PLLB",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 30,
+ "bl": 30,
+ "description": "",
+ "name": "TMDSA_INPUT_TEST_CLK_SEL1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "TMDSA_INPUT_TEST_CLK_SEL2",
+ "value": []
+ }
+ ],
+ "name": "TMDSA_TRANSMITTER_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007914": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "TMDSA_TEST_CNTLA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "TMDSA_TEST_OUTPUT_SELECT",
+ "value": []
+ }
+ ],
+ "name": "TMDSA_REG_TEST_OUTPUTA",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007918": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "TMDSA_PLL_DEBUG",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "TMDSA_TX_DEBUG",
+ "value": []
+ }
+ ],
+ "name": "TMDSA_TRANSMITTER_DEBUG",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000791C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "TMDSA_RAND_R_SEED",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "TMDSA_RAND_G_SEED",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "TMDSA_RAND_B_SEED",
+ "value": []
+ }
+ ],
+ "name": "TMDSA_DITHER_RAND_SEED",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007920": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "TMDSA_TX_VOLTAGE_SWING_A",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "TMDSA_TX_VOLTAGE_SWING_B",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 9,
+ "description": "",
+ "name": "TMDSA_TXPCA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 13,
+ "description": "",
+ "name": "TMDSA_TXPCB",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "TMDSA_TXPWA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 21,
+ "description": "",
+ "name": "TMDSA_TXPWB",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 25,
+ "description": "",
+ "name": "TMDSA_TX_VS_COMPA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 29,
+ "description": "",
+ "name": "TMDSA_TX_VS_COMPB",
+ "value": []
+ }
+ ],
+ "name": "TMDSA_TRANSMITTER_ADJUST",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007924": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "TMDSA_TEST_CNTLB",
+ "value": []
+ }
+ ],
+ "name": "TMDSA_REG_TEST_OUTPUTB",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000792C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "LVTMA_SPLIT_TX_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "LVTMA_SPLIT_LNKCEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "LVTMA_SPLIT_LNKD0EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "LVTMA_SPLIT_LNKD1EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "LVTMA_SPLIT_LNKD2EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "LVTMA_SPLIT_TX_ENABLE_HPD_MAS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "LVTMA_SPLIT_LNKCEN_HPD_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 18,
+ "description": "",
+ "name": "LVTMA_SPLIT_LNKDEN_HPD_MASK",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_SPLIT_TRANSMITTER_ENABLE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007930": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "LVTMA_SPLIT_LOAD_DETECT_ENABL",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_SPLIT_LOAD_DETECT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007934": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 5,
+ "description": "",
+ "name": "LVTMA_SPLIT_PLL_CP_GAIN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 13,
+ "description": "",
+ "name": "LVTMA_SPLIT_PLL_VCO_GAIN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "LVTMA_SPLIT_PLL_DUTY_CYCLE",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_SPLIT_PLL_ADJUST",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007938": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "LVTMA_SPLIT_PLL_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "LVTMA_SPLIT_PLL_RESET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 3,
+ "description": "",
+ "name": "LVTMA_SPLIT_PLL_ENABLE_HPD_MA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "LVTMA_SPLIT_IDSCKSEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "LVTMA_SPLIT_PLL_PWRUP_SEQ_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "LVTMA_SPLIT_PLL_RESET_HPD_MAS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "LVTMA_SPLIT_BYPASS_PLL",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_SPLIT_TRANSMITTER_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000793C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "LVTMA_TX_VOLTAGE_SWING",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 9,
+ "description": "",
+ "name": "LVTMA_SPLIT_TXPC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 13,
+ "description": "",
+ "name": "LVTMA_SPLIT_TXPW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 21,
+ "description": "",
+ "name": "LVTMA_TX_VS_COMP",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_SPLIT_TRANSMITTER_ADJUST",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007980": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DVOA_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DVOA_PIXEL_ENCODING",
+ "value": []
+ }
+ ],
+ "name": "DVOA_ENABLE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007984": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DVOA_SOURCE_SELECT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DVOA_SYNC_SELECT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "DVOA_STEREOSYNC_SELECT",
+ "value": []
+ }
+ ],
+ "name": "DVOA_SOURCE_SELECT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007988": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DVOA_TRUNCATE_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "DVOA_TRUNCATE_DEPTH",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DVOA_SPATIAL_DITHER_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 10,
+ "description": "",
+ "name": "DVOA_SPATIAL_DITHER_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "DVOA_SPATIAL_DITHER_DEPTH",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "DVOA_FRAME_RANDOM_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 14,
+ "bl": 14,
+ "description": "",
+ "name": "DVOA_RGB_RANDOM_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 15,
+ "description": "",
+ "name": "DVOA_HIGHPASS_RANDOM_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "DVOA_TEMPORAL_DITHER_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "DVOA_TEMPORAL_DITHER_DEPTH",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 22,
+ "description": "",
+ "name": "DVOA_TEMPORAL_DITHER_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "DVOA_TEMPORAL_LEVEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "DVOA_TEMPORAL_DITHER_RESET",
+ "value": []
+ }
+ ],
+ "name": "DVOA_BIT_DEPTH_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000798C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "DVOA_OUTPUT_ENABLE_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DVOA_CLOCK_MODE",
+ "value": []
+ }
+ ],
+ "name": "DVOA_OUTPUT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007990": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DVOA_RATE_SELECT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "DVOA_SDRCLK_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DVOA_DUAL_CHANNEL_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "DVOA_SYNC_PHASE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 18,
+ "description": "",
+ "name": "DVOA_INVERT_DVOCLK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 25,
+ "description": "",
+ "name": "DVOA_COLOR_FORMAT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "DVOA_REORDER_BITS",
+ "value": []
+ }
+ ],
+ "name": "DVOA_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007994": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DVOA_CRC_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DVOA_CRC_CONT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "DVOA_CRC2_EN",
+ "value": []
+ }
+ ],
+ "name": "DVOA_CRC_EN",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007998": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DVOA_CRC_FIELD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DVOA_CRC_ONLY_BLANKb",
+ "value": []
+ }
+ ],
+ "name": "DVOA_CRC_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x0000799C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "DVOA_CRC_SIG_BLUE_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "DVOA_CRC_SIG_GREEN_MASK",
+ "value": []
+ }
+ ],
+ "name": "DVOA_CRC_SIG_MASK1",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000079A0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "DVOA_CRC_SIG_RED_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 18,
+ "description": "",
+ "name": "DVOA_CRC_SIG_CONTROL_MASK",
+ "value": []
+ }
+ ],
+ "name": "DVOA_CRC_SIG_MASK2",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000079A4": {
+ "field": [],
+ "name": "DVOA_CRC_SIG_RESULT1",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000079A8": {
+ "field": [],
+ "name": "DVOA_CRC_SIG_RESULT2",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000079AC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 26,
+ "description": "",
+ "name": "DVOA_CRC2_SIG_MASK",
+ "value": []
+ }
+ ],
+ "name": "DVOA_CRC2_SIG_MASK",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000079B0": {
+ "field": [],
+ "name": "DVOA_CRC2_SIG_RESULT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000079B4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "DVOA_SP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "DVOA_SN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "DVOACLK_SP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "DVOACLK_SN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "DVOA_SRP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "DVOA_SRN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "DVOACLK_SRP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "DVOACLK_SRN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "DVOA_LSB_VMODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 29,
+ "bl": 29,
+ "description": "",
+ "name": "DVOA_MSB_VMODE",
+ "value": []
+ }
+ ],
+ "name": "DVOA_STRENGTH_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000079B8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DVOA_FORCE_DATA_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 10,
+ "description": "",
+ "name": "DVOA_FORCE_DATA_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "DVOA_FORCE_DATA_ON_BLANKb_ON",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "DVOA_HDCP_RGB_PASSTHRU_IN_NO",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 21,
+ "description": "",
+ "name": "DVOA_HDCP_RANDOM_DATA_EN",
+ "value": []
+ }
+ ],
+ "name": "DVOA_FORCE_OUTPUT_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x000079BC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "DVOA_FORCE_DATA",
+ "value": []
+ }
+ ],
+ "name": "DVOA_FORCE_DATA",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007A00": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DACB_ENABLE",
+ "value": []
+ }
+ ],
+ "name": "DACB_ENABLE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007A04": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "DACB_SOURCE_SELECT",
+ "value": []
+ }
+ ],
+ "name": "DACB_SOURCE_SELECT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007A08": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DACB_CRC_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "DACB_CRC_CONT_EN",
+ "value": []
+ }
+ ],
+ "name": "DACB_CRC_EN",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007A0C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DACB_CRC_FIELD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DACB_CRC_ONLY_BLANKb",
+ "value": []
+ }
+ ],
+ "name": "DACB_CRC_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007A10": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 9,
+ "description": "",
+ "name": "DACB_CRC_SIG_BLUE_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 19,
+ "description": "",
+ "name": "DACB_CRC_SIG_GREEN_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 29,
+ "description": "",
+ "name": "DACB_CRC_SIG_RED_MASK",
+ "value": []
+ }
+ ],
+ "name": "DACB_CRC_SIG_RGB_MASK",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007A14": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 5,
+ "description": "",
+ "name": "DACB_CRC_SIG_CONTROL_MASK",
+ "value": []
+ }
+ ],
+ "name": "DACB_CRC_SIG_CONTROL_MASK",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007A18": {
+ "field": [],
+ "name": "DACB_CRC_SIG_RGB",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007A1C": {
+ "field": [],
+ "name": "DACB_CRC_SIG_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007A20": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DACB_HSYNCB_TRISTATE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DACB_VSYNCB_TRISTATE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "DACB_SYNCB_TRISTATE",
+ "value": []
+ }
+ ],
+ "name": "DACB_SYNC_TRISTATE_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007A24": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DACB_SYNC_SELECT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DACB_STEREOSYNC_SELECT",
+ "value": []
+ }
+ ],
+ "name": "DACB_SYNC_SELECT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007A28": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "DACB_AUTODETECT_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "DACB_AUTODETECT_FRAME_TIME_C",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 18,
+ "description": "",
+ "name": "DACB_AUTODETECT_CHECK_MASK",
+ "value": []
+ }
+ ],
+ "name": "DACB_AUTODETECT_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007A2C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "DACB_AUTODETECT_POWERUP_COU",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DACB_AUTODETECT_TESTMODE",
+ "value": []
+ }
+ ],
+ "name": "DACB_AUTODETECT_CONTROL2",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007A30": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "DACB_AUTODET_COMPARATOR_IN_D",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "DACB_AUTODET_COMPARATOR_OUT",
+ "value": []
+ }
+ ],
+ "name": "DACB_AUTODETECT_CONTROL3",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007A34": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "DACB_AUTODETECT_GREEN_SENSE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 25,
+ "description": "",
+ "name": "DACB_AUTODETECT_BLUE_SENSE",
+ "value": []
+ }
+ ],
+ "name": "DACB_AUTODETECT_STATUS",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007A38": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "DACB_AUTODETECT_INT_ENABLE",
+ "value": []
+ }
+ ],
+ "name": "DACB_AUTODETECT_INT_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007A3C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DACB_FORCE_DATA_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 10,
+ "description": "",
+ "name": "DACB_FORCE_DATA_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "DACB_FORCE_DATA_ON_BLANKb_ON",
+ "value": []
+ }
+ ],
+ "name": "DACB_FORCE_OUTPUT_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007A40": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 9,
+ "description": "",
+ "name": "DACB_FORCE_DATA",
+ "value": []
+ }
+ ],
+ "name": "DACB_FORCE_DATA",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007A50": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DACB_POWERDOWN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DACB_POWERDOWN_BLUE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "DACB_POWERDOWN_GREEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "DACB_POWERDOWN_RED",
+ "value": []
+ }
+ ],
+ "name": "DACB_POWERDOWN",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007A54": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "DACB_WHITE_LEVEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 13,
+ "description": "",
+ "name": "DACB_WHITE_FINE_CONTROL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 21,
+ "description": "",
+ "name": "DACB_BANDGAP_ADJUSTMENT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 27,
+ "description": "",
+ "name": "DACB_ANALOG_MONITOR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "DACB_COREMON",
+ "value": []
+ }
+ ],
+ "name": "DACB_CONTROL1",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007A58": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DACB_DFORCE_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DACB_TV_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "DACB_ZSCALE_SHIFT",
+ "value": []
+ }
+ ],
+ "name": "DACB_CONTROL2",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007A5C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DACB_COMP_DDET_REF_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DACB_COMP_SDET_REF_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "DACB_R_ASYNC_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "DACB_G_ASYNC_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 18,
+ "description": "",
+ "name": "DACB_B_ASYNC_ENABLE",
+ "value": []
+ }
+ ],
+ "name": "DACB_COMPARATOR_ENABLE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007A60": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "DACB_COMPARATOR_OUTPUT_BLUE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "DACB_COMPARATOR_OUTPUT_GREE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "DACB_COMPARATOR_OUTPUT_RED",
+ "value": []
+ }
+ ],
+ "name": "DACB_COMPARATOR_OUTPUT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007A64": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DACB_TEST_ENABLE",
+ "value": []
+ }
+ ],
+ "name": "DACB_TEST_ENABLE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007A68": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "DACB_BG_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "DACB_PWRCNTL",
+ "value": []
+ }
+ ],
+ "name": "DACB_PWR_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007A80": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "LVTMA_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "LVTMA_HDMI_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "LVTMA_ENABLE_HPD_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 9,
+ "description": "",
+ "name": "LVTMA_HPD_SELECT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "LVTMA_SYNC_PHASE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "LVTMA_PIXEL_ENCODING",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "LVTMA_DUAL_LINK_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "LVTMA_SWAP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 29,
+ "bl": 29,
+ "description": "",
+ "name": "LVTMA_SPLIT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 30,
+ "bl": 31,
+ "description": "",
+ "name": "LVTMA_SPLIT_HPD_SELECT",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007A84": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "LVTMA_SOURCE_SELECT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "LVTMA_SYNC_SELECT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "LVTMA_STEREOSYNC_SELECT",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_SOURCE_SELECT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007A88": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "LVTMA_COLOR_FORMAT",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_COLOR_FORMAT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007A8C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "LVTMA_FORCE_DATA_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 10,
+ "description": "",
+ "name": "LVTMA_FORCE_DATA_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "LVTMA_FORCE_DATA_ON_BLANKb_O",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_FORCE_OUTPUT_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007A90": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "LVTMA_FORCE_DATA",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_FORCE_DATA",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007A94": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "LVTMA_TRUNCATE_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "LVTMA_TRUNCATE_DEPTH",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "LVTMA_SPATIAL_DITHER_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 10,
+ "description": "",
+ "name": "LVTMA_SPATIAL_DITHER_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "LVTMA_SPATIAL_DITHER_DEPTH",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "LVTMA_FRAME_RANDOM_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 14,
+ "bl": 14,
+ "description": "",
+ "name": "LVTMA_RGB_RANDOM_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 15,
+ "description": "",
+ "name": "LVTMA_HIGHPASS_RANDOM_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "LVTMA_TEMPORAL_DITHER_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "LVTMA_TEMPORAL_DITHER_DEPTH",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 22,
+ "description": "",
+ "name": "LVTMA_TEMPORAL_DITHER_OFFSET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "LVTMA_TEMPORAL_LEVEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "LVTMA_TEMPORAL_DITHER_RESET",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_BIT_DEPTH_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007A98": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "LVTMA_CONTROL_CHAR0_OUT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "LVTMA_CONTROL_CHAR1_OUT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "LVTMA_CONTROL_CHAR2_OUT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "LVTMA_CONTROL_CHAR3_OUT_EN",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_CONTROL_CHAR",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007A9C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "LVTMA_CONTROL0_FEEDBACK_SELE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 9,
+ "description": "",
+ "name": "LVTMA_CONTROL0_FEEDBACK_DELA",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_CONTROL0_FEEDBACK",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007AA0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "LVTMA_STEREOSYNC_CTL_SEL",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_STEREOSYNC_CTL_SEL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007AA4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "LVTMA_SYNC_CHAR_PATTERN_SEL",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_SYNC_CHAR_PATTERN_SEL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007AA8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 9,
+ "description": "",
+ "name": "LVTMA_SYNC_CHAR_PATTERN0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 25,
+ "description": "",
+ "name": "LVTMA_SYNC_CHAR_PATTERN1",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_SYNC_CHAR_PATTERN_0_1",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007AAC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 9,
+ "description": "",
+ "name": "LVTMA_SYNC_CHAR_PATTERN2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 25,
+ "description": "",
+ "name": "LVTMA_SYNC_CHAR_PATTERN3",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_SYNC_CHAR_PATTERN_2_3",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007AB0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "LVTMA_CRC_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "LVTMA_CRC_CONT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "LVTMA_CRC_ONLY_BLANKb",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "LVTMA_CRC_FIELD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "LVTMA_2ND_CRC_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "LVTMA_2ND_CRC_LINK_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 25,
+ "description": "",
+ "name": "LVTMA_2ND_CRC_DATA_SEL",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_CRC_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007AB4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "LVTMA_CRC_SIG_BLUE_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "LVTMA_CRC_SIG_GREEN_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "LVTMA_CRC_SIG_RED_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 26,
+ "description": "",
+ "name": "LVTMA_CRC_SIG_CONTROL_MASK",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_CRC_SIG_MASK",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007AB8": {
+ "field": [],
+ "name": "LVTMA_CRC_SIG_RGB",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007ABC": {
+ "field": [],
+ "name": "LVTMA_2ND_CRC_RESULT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007AC0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "LVTMA_TEST_PATTERN_OUT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "LVTMA_HALF_CLOCK_PATTERN_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "LVTMA_LVTM_TEST_CLOCK_DATA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "LVTMA_RANDOM_PATTERN_OUT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "LVTMA_RANDOM_PATTERN_RESET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "LVTMA_TEST_PATTERN_EXTERNAL_R",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "LVTMA_LVTM_EYE_PATTERN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 25,
+ "description": "",
+ "name": "LVTMA_STATIC_TEST_PATTERN",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_TEST_PATTERN",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007AC4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 23,
+ "description": "",
+ "name": "LVTMA_RANDOM_PATTERN_SEED",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "LVTMA_RAN_PAT_DURING_DE_ONLY",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_RANDOM_PATTERN_SEED",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007AC8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "LVTMA_DEBUG_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "LVTMA_DEBUG_HSYNC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "LVTMA_DEBUG_HSYNC_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "LVTMA_DEBUG_VSYNC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "LVTMA_DEBUG_VSYNC_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "LVTMA_DEBUG_DE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "LVTMA_DEBUG_DE_EN",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_DEBUG",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007ACC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "LVTMA_CTL0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "LVTMA_CTL1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "LVTMA_CTL2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "LVTMA_CTL3",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_CTL_BITS",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007AD0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "LVTMA_DCBALANCER_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "LVTMA_DCBALANCER_TEST_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "LVTMA_DCBALANCER_TEST_IN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "LVTMA_DCBALANCER_FORCE",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_DCBALANCER_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007AD4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "LVTMA_RB_SWITCH_EN",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_RED_BLUE_SWITCH",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007ADC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "LVTMA_DSYNSEL",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_DATA_SYNCHRONIZATION",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007AE0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "LVTMA_CTL0_DATA_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 6,
+ "description": "",
+ "name": "LVTMA_CTL0_DATA_DELAY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "LVTMA_CTL0_DATA_INVERT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 9,
+ "description": "",
+ "name": "LVTMA_CTL0_DATA_MODULATION",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 10,
+ "description": "",
+ "name": "LVTMA_CTL0_USE_FEEDBACK_PATH",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 11,
+ "bl": 11,
+ "description": "",
+ "name": "LVTMA_CTL0_FB_SYNC_CONT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "LVTMA_CTL0_PATTERN_OUT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "LVTMA_CTL1_DATA_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 22,
+ "description": "",
+ "name": "LVTMA_CTL1_DATA_DELAY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 23,
+ "bl": 23,
+ "description": "",
+ "name": "LVTMA_CTL1_DATA_INVERT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 25,
+ "description": "",
+ "name": "LVTMA_CTL1_DATA_MODULATION",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 26,
+ "description": "",
+ "name": "LVTMA_CTL1_USE_FEEDBACK_PATH",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 27,
+ "bl": 27,
+ "description": "",
+ "name": "LVTMA_CTL1_FB_SYNC_CONT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "LVTMA_CTL1_PATTERN_OUT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "LVTMA_2BIT_COUNTER_EN",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_CTL0_1_GEN_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007AE4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "LVTMA_CTL2_DATA_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 6,
+ "description": "",
+ "name": "LVTMA_CTL2_DATA_DELAY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "LVTMA_CTL2_DATA_INVERT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 9,
+ "description": "",
+ "name": "LVTMA_CTL2_DATA_MODULATION",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 10,
+ "description": "",
+ "name": "LVTMA_CTL2_USE_FEEDBACK_PATH",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 11,
+ "bl": 11,
+ "description": "",
+ "name": "LVTMA_CTL2_FB_SYNC_CONT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "LVTMA_CTL2_PATTERN_OUT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "LVTMA_CTL3_DATA_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 22,
+ "description": "",
+ "name": "LVTMA_CTL3_DATA_DELAY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 23,
+ "bl": 23,
+ "description": "",
+ "name": "LVTMA_CTL3_DATA_INVERT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 25,
+ "description": "",
+ "name": "LVTMA_CTL3_DATA_MODULATION",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 26,
+ "description": "",
+ "name": "LVTMA_CTL3_USE_FEEDBACK_PATH",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 27,
+ "bl": 27,
+ "description": "",
+ "name": "LVTMA_CTL3_FB_SYNC_CONT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "LVTMA_CTL3_PATTERN_OUT_EN",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_CTL2_3_GEN_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007AE8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 11,
+ "description": "",
+ "name": "LVTMA_PWRSEQ_REF_DIV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 27,
+ "description": "",
+ "name": "LVTMA_BL_MOD_REF_DIV",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_PWRSEQ_REF_DIV",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007AEC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "LVTMA_PWRUP_DELAY1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "LVTMA_PWRUP_DELAY2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "LVTMA_PWRDN_DELAY1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 31,
+ "description": "",
+ "name": "LVTMA_PWRDN_DELAY2",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_PWRSEQ_DELAY1",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007AF0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "LVTMA_PWRDN_MIN_LENGTH",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_PWRSEQ_DELAY2",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007AF4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "LVTMA_PWRSEQ_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "LVTMA_PWRSEQ_DISABLE_SYNCEN_",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "LVTMA_PLL_ENABLE_PWRSEQ_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "LVTMA_PLL_RESET_PWRSEQ_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "LVTMA_PWRSEQ_TARGET_STATE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "LVTMA_SYNCEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "LVTMA_SYNCEN_OVRD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 10,
+ "description": "",
+ "name": "LVTMA_SYNCEN_POL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "LVTMA_DIGON",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "LVTMA_DIGON_OVRD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 18,
+ "description": "",
+ "name": "LVTMA_DIGON_POL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "LVTMA_BLON",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "LVTMA_BLON_OVRD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 26,
+ "description": "",
+ "name": "LVTMA_BLON_POL",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_PWRSEQ_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007AF8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "LVTMA_PWRSEQ_TARGET_STATE_R",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_PWRSEQ_STATE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007AFC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "LVTMA_BL_MOD_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "LVTMA_BL_MOD_LEVEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "LVTMA_BL_MOD_RES",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_BL_MOD_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007B00": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "LVTMA_LVTM_24BIT_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "LVTMA_LVTM_24BIT_FORMAT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "LVTMA_LVTM_2ND_CHAN_DE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "LVTMA_LVTM_2ND_CHAN_VS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 10,
+ "description": "",
+ "name": "LVTMA_LVTM_2ND_CHAN_HS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 14,
+ "description": "",
+ "name": "LVTMA_LVTM_2ND_LINK_CNTL_BITS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "LVTMA_LVTM_FP_POL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "LVTMA_LVTM_LP_POL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 18,
+ "description": "",
+ "name": "LVTMA_LVTM_DTMG_POL",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_LVTM_DATA_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007B04": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "LVTMA_TMDS_LVTMb",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_MODE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007B08": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "LVTMA_LNKC0EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "LVTMA_LNKD00EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "LVTMA_LNKD01EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "LVTMA_LNKD02EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "LVTMA_LNKD03EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "LVTMA_LNKC1EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 10,
+ "description": "",
+ "name": "LVTMA_LNKD10EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 11,
+ "bl": 11,
+ "description": "",
+ "name": "LVTMA_LNKD11EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "LVTMA_LNKD12EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "LVTMA_LNKD13EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "LVTMA_LNKCEN_HPD_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 18,
+ "description": "",
+ "name": "LVTMA_LNKDEN_HPD_MASK",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_TRANSMITTER_ENABLE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007B0C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "LVTMA_LOAD_DETECT_ENABLE",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_LOAD_DETECT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007B10": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 5,
+ "description": "",
+ "name": "LVTMA_PLL_CP_GAIN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 13,
+ "description": "",
+ "name": "LVTMA_PLL_VCO_GAIN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "LVTMA_PLL_DUTY_CYCLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 28,
+ "description": "",
+ "name": "LVTMA_IPLT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "LVTMA_ICOSEL",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_MACRO_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007B14": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "LVTMA_PLL_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "LVTMA_PLL_RESET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 3,
+ "description": "",
+ "name": "LVTMA_PLL_ENABLE_HPD_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "LVTMA_IDSCKSEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "LVTMA_BGSLEEP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "LVTMA_PLL_RESET_HPD_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "LVTMA_TMCLK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "LVTMA_TMCLK_FROM_PADS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 14,
+ "bl": 14,
+ "description": "",
+ "name": "LVTMA_TDCLK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 15,
+ "description": "",
+ "name": "LVTMA_TDCLK_FROM_PADS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 25,
+ "description": "",
+ "name": "LVTMA_CLK_PATTERN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "LVTMA_BYPASS_PLL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 29,
+ "bl": 29,
+ "description": "",
+ "name": "LVTMA_USE_CLK_DATA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "LVTMA_INPUT_TEST_CLK_SEL",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_TRANSMITTER_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007B18": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 21,
+ "description": "",
+ "name": "LVTMA_TEST_CNTL",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_REG_TEST_OUTPUT",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007B1C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "LVTMA_PLL_DEBUG",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "LVTMA_TX_DEBUG",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_TRANSMITTER_DEBUG",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007B20": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "LVTMA_RAND_R_SEED",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "LVTMA_RAND_G_SEED",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "LVTMA_RAND_B_SEED",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_DITHER_RAND_SEED",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007B24": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 4,
+ "description": "",
+ "name": "LVTMA_TXOP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 12,
+ "description": "",
+ "name": "LVTMA_NTXVS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 20,
+ "description": "",
+ "name": "LVTMA_PTXVS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 28,
+ "description": "",
+ "name": "LVTMA_TXT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 30,
+ "bl": 30,
+ "description": "",
+ "name": "LVTMA_PUDSEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "LVTMA_REFSEL",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_TRANSMITTER_ADJUST",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007B28": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "LVTMA_PREMPHEN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "LVTMA_PREMCHSEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "LVTMA_PREMPH_DV",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "LVTMA_PREMPH_DT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 24,
+ "description": "",
+ "name": "LVTMA_NTXSPREM",
+ "value": []
+ }
+ ],
+ "name": "LVTMA_PREEMPHASIS_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007D00": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_HOT_PLUG_DETECT1_EN",
+ "value": []
+ }
+ ],
+ "name": "DC_HOT_PLUG_DETECT1_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007D04": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_HOT_PLUG_DETECT1_INT_STATU",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_HOT_PLUG_DETECT1_INT_ACK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DC_HOT_PLUG_DETECT1_INT_POLAR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "DC_HOT_PLUG_DETECT1_INT_EN",
+ "value": []
+ }
+ ],
+ "name": "DC_HOT_PLUG_DETECT1_INT_STATUS",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007D10": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_HOT_PLUG_DETECT2_EN",
+ "value": []
+ }
+ ],
+ "name": "DC_HOT_PLUG_DETECT2_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007D14": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_HOT_PLUG_DETECT2_INT_STATU",
+ "value": []
+ }
+ ],
+ "name": "DC_HOT_PLUG_DETECT2_INT_STATUS",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007D18": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_HOT_PLUG_DETECT2_INT_ACK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DC_HOT_PLUG_DETECT2_INT_POLAR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "DC_HOT_PLUG_DETECT2_INT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_HOT_PLUG_DETECT_CLOCK_ENA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "DC_HOT_PLUG_DETECT_CLOCK_SEL",
+ "value": []
+ }
+ ],
+ "name": "DC_HOT_PLUG_DETECT2_INT_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007D24": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_HOT_PLUG_DETECT3_EN",
+ "value": []
+ }
+ ],
+ "name": "DC_HOT_PLUG_DETECT3_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007D28": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_HOT_PLUG_DETECT3_INT_STATU",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_HOT_PLUG_DETECT3_INT_ACK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DC_HOT_PLUG_DETECT3_INT_POLAR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "DC_HOT_PLUG_DETECT3_INT_EN",
+ "value": []
+ }
+ ],
+ "name": "DC_HOT_PLUG_DETECT3_INT_STATUS",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007D30": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "DC_I2C_SOFT_RESET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "DC_I2C_SEND_RESET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "DC_I2C_SW_STATUS_RESET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "DC_I2C_SDVO_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "DC_I2C_SDVO_ADDR_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 10,
+ "description": "",
+ "name": "DC_I2C_DDC_SELECT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 21,
+ "description": "",
+ "name": "DC_I2C_TRANSACTION_COUNT",
+ "value": []
+ }
+ ],
+ "name": "DC_I2C_CONTROL",
+ "size": 32,
+ "unit": [
+ "I2C"
+ ]
+ },
+ "0x00007D34": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "DC_I2C_SW_PRIORITY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "DC_I2C_NO_QUEUED_SW_GO",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "DC_I2C_NO_RESTART_SW_GO",
+ "value": []
+ }
+ ],
+ "name": "DC_I2C_ARBITRATION",
+ "size": 32,
+ "unit": [
+ "I2C"
+ ]
+ },
+ "0x00007D38": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "DC_I2C_SW_DONE_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "DC_I2C_DDC1_HW_DONE_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 10,
+ "description": "",
+ "name": "DC_I2C_DDC2_HW_DONE_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 14,
+ "bl": 14,
+ "description": "",
+ "name": "DC_I2C_DDC3_HW_DONE_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 18,
+ "description": "",
+ "name": "DC_I2C_DDC4_HW_DONE_MASK",
+ "value": []
+ }
+ ],
+ "name": "DC_I2C_INTERRUPT_CONTROL",
+ "size": 32,
+ "unit": [
+ "I2C"
+ ]
+ },
+ "0x00007D3C": {
+ "field": [],
+ "name": "DC_I2C_SW_STATUS",
+ "size": 32,
+ "unit": [
+ "I2C"
+ ]
+ },
+ "0x00007D40": {
+ "field": [],
+ "name": "DC_I2C_DDC1_HW_STATUS",
+ "size": 32,
+ "unit": [
+ "I2C"
+ ]
+ },
+ "0x00007D44": {
+ "field": [],
+ "name": "DC_I2C_DDC2_HW_STATUS",
+ "size": 32,
+ "unit": [
+ "I2C"
+ ]
+ },
+ "0x00007D48": {
+ "field": [],
+ "name": "DC_I2C_DDC3_HW_STATUS",
+ "size": 32,
+ "unit": [
+ "I2C"
+ ]
+ },
+ "0x00007D4C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "DC_I2C_DDC1_THRESHOLD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 31,
+ "description": "",
+ "name": "DC_I2C_DDC1_PRESCALE",
+ "value": []
+ }
+ ],
+ "name": "DC_I2C_DDC1_SPEED",
+ "size": 32,
+ "unit": [
+ "I2C"
+ ]
+ },
+ "0x00007D50": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_I2C_DDC1_DATA_DRIVE_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "DC_I2C_DDC1_DATA_DRIVE_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "DC_I2C_DDC1_CLK_DRIVE_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "DC_I2C_DDC1_INTRA_BYTE_DELAY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "DC_I2C_DDC1_INTRA_TRANSACTION_",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 31,
+ "description": "",
+ "name": "DC_I2C_DDC1_TIME_LIMIT",
+ "value": []
+ }
+ ],
+ "name": "DC_I2C_DDC1_SETUP",
+ "size": 32,
+ "unit": [
+ "I2C"
+ ]
+ },
+ "0x00007D54": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "DC_I2C_DDC2_THRESHOLD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 31,
+ "description": "",
+ "name": "DC_I2C_DDC2_PRESCALE",
+ "value": []
+ }
+ ],
+ "name": "DC_I2C_DDC2_SPEED",
+ "size": 32,
+ "unit": [
+ "I2C"
+ ]
+ },
+ "0x00007D58": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_I2C_DDC2_DATA_DRIVE_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "DC_I2C_DDC2_DATA_DRIVE_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "DC_I2C_DDC2_CLK_DRIVE_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "DC_I2C_DDC2_INTRA_BYTE_DELAY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "DC_I2C_DDC2_INTRA_TRANSACTION_",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 31,
+ "description": "",
+ "name": "DC_I2C_DDC2_TIME_LIMIT",
+ "value": []
+ }
+ ],
+ "name": "DC_I2C_DDC2_SETUP",
+ "size": 32,
+ "unit": [
+ "I2C"
+ ]
+ },
+ "0x00007D5C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "DC_I2C_DDC3_THRESHOLD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 31,
+ "description": "",
+ "name": "DC_I2C_DDC3_PRESCALE",
+ "value": []
+ }
+ ],
+ "name": "DC_I2C_DDC3_SPEED",
+ "size": 32,
+ "unit": [
+ "I2C"
+ ]
+ },
+ "0x00007D60": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_I2C_DDC3_DATA_DRIVE_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "DC_I2C_DDC3_DATA_DRIVE_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "DC_I2C_DDC3_CLK_DRIVE_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "DC_I2C_DDC3_INTRA_BYTE_DELAY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "DC_I2C_DDC3_INTRA_TRANSACTION_",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 31,
+ "description": "",
+ "name": "DC_I2C_DDC3_TIME_LIMIT",
+ "value": []
+ }
+ ],
+ "name": "DC_I2C_DDC3_SETUP",
+ "size": 32,
+ "unit": [
+ "I2C"
+ ]
+ },
+ "0x00007D64": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_I2C_RW0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DC_I2C_STOP_ON_NACK0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "DC_I2C_ACK_ON_READ0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "DC_I2C_START0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "DC_I2C_STOP0",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "DC_I2C_COUNT0",
+ "value": []
+ }
+ ],
+ "name": "DC_I2C_TRANSACTION0",
+ "size": 32,
+ "unit": [
+ "I2C"
+ ]
+ },
+ "0x00007D68": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_I2C_RW1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DC_I2C_STOP_ON_NACK1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "DC_I2C_ACK_ON_READ1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "DC_I2C_START1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "DC_I2C_STOP1",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "DC_I2C_COUNT1",
+ "value": []
+ }
+ ],
+ "name": "DC_I2C_TRANSACTION1",
+ "size": 32,
+ "unit": [
+ "I2C"
+ ]
+ },
+ "0x00007D6C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_I2C_RW2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DC_I2C_STOP_ON_NACK2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "DC_I2C_ACK_ON_READ2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "DC_I2C_START2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "DC_I2C_STOP2",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "DC_I2C_COUNT2",
+ "value": []
+ }
+ ],
+ "name": "DC_I2C_TRANSACTION2",
+ "size": 32,
+ "unit": [
+ "I2C"
+ ]
+ },
+ "0x00007D70": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_I2C_RW3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DC_I2C_STOP_ON_NACK3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "DC_I2C_ACK_ON_READ3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "DC_I2C_START3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "DC_I2C_STOP3",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "DC_I2C_COUNT3",
+ "value": []
+ }
+ ],
+ "name": "DC_I2C_TRANSACTION3",
+ "size": 32,
+ "unit": [
+ "I2C"
+ ]
+ },
+ "0x00007D74": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_I2C_DATA_RW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "DC_I2C_DATA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "DC_I2C_INDEX",
+ "value": []
+ }
+ ],
+ "name": "DC_I2C_DATA",
+ "size": 32,
+ "unit": [
+ "I2C"
+ ]
+ },
+ "0x00007D80": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "GENERIC_I2C_SOFT_RESET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "GENERIC_I2C_SEND_RESET",
+ "value": []
+ }
+ ],
+ "name": "GENERIC_I2C_CONTROL",
+ "size": 32,
+ "unit": [
+ "I2C"
+ ]
+ },
+ "0x00007D84": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "GENERIC_I2C_DONE_MASK",
+ "value": []
+ }
+ ],
+ "name": "GENERIC_I2C_INTERRUPT_CONTROL",
+ "size": 32,
+ "unit": [
+ "I2C"
+ ]
+ },
+ "0x00007D88": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "GENERIC_I2C_STOPPED_ON_NACK",
+ "value": []
+ }
+ ],
+ "name": "GENERIC_I2C_STATUS",
+ "size": 32,
+ "unit": [
+ "I2C"
+ ]
+ },
+ "0x00007D8C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "GENERIC_I2C_THRESHOLD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 31,
+ "description": "",
+ "name": "GENERIC_I2C_PRESCALE",
+ "value": []
+ }
+ ],
+ "name": "GENERIC_I2C_SPEED",
+ "size": 32,
+ "unit": [
+ "I2C"
+ ]
+ },
+ "0x00007D90": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "GENERIC_I2C_DATA_DRIVE_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "GENERIC_I2C_DATA_DRIVE_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "GENERIC_I2C_CLK_DRIVE_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "GENERIC_I2C_INTRA_BYTE_DELAY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 31,
+ "description": "",
+ "name": "GENERIC_I2C_TIME_LIMIT",
+ "value": []
+ }
+ ],
+ "name": "GENERIC_I2C_SETUP",
+ "size": 32,
+ "unit": [
+ "I2C"
+ ]
+ },
+ "0x00007D94": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "GENERIC_I2C_RW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "GENERIC_I2C_STOP_ON_NACK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 9,
+ "bl": 9,
+ "description": "",
+ "name": "GENERIC_I2C_ACK_ON_READ",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "GENERIC_I2C_START",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "GENERIC_I2C_STOP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "GENERIC_I2C_COUNT",
+ "value": []
+ }
+ ],
+ "name": "GENERIC_I2C_TRANSACTION",
+ "size": 32,
+ "unit": [
+ "I2C"
+ ]
+ },
+ "0x00007D98": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "GENERIC_I2C_DATA_RW",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "GENERIC_I2C_DATA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "GENERIC_I2C_INDEX",
+ "value": []
+ }
+ ],
+ "name": "GENERIC_I2C_DATA",
+ "size": 32,
+ "unit": [
+ "I2C"
+ ]
+ },
+ "0x00007D9C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 6,
+ "description": "",
+ "name": "GENERIC_I2C_SCL_PIN_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 14,
+ "description": "",
+ "name": "GENERIC_I2C_SDA_PIN_SEL",
+ "value": []
+ }
+ ],
+ "name": "GENERIC_I2C_PIN_SELECTION",
+ "size": 32,
+ "unit": [
+ "I2C"
+ ]
+ },
+ "0x00007DA0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "GENERIC_I2C_SCL_OUTPUT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "GENERIC_I2C_SCL_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "GENERIC_I2C_SDA_OUTPUT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "GENERIC_I2C_SDA_EN",
+ "value": []
+ }
+ ],
+ "name": "GENERIC_I2C_PIN_DEBUG",
+ "size": 32,
+ "unit": [
+ "I2C"
+ ]
+ },
+ "0x00007DB0": {
+ "field": [],
+ "name": "DC_I2C_DDC4_HW_STATUS",
+ "size": 32,
+ "unit": [
+ "I2C"
+ ]
+ },
+ "0x00007DB4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "DC_I2C_DDC4_THRESHOLD",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 31,
+ "description": "",
+ "name": "DC_I2C_DDC4_PRESCALE",
+ "value": []
+ }
+ ],
+ "name": "DC_I2C_DDC4_SPEED",
+ "size": 32,
+ "unit": [
+ "I2C"
+ ]
+ },
+ "0x00007DBC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_I2C_DDC4_DATA_DRIVE_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "DC_I2C_DDC4_DATA_DRIVE_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "DC_I2C_DDC4_CLK_DRIVE_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "DC_I2C_DDC4_INTRA_BYTE_DELAY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "DC_I2C_DDC4_INTRA_TRANSACTION_",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 31,
+ "description": "",
+ "name": "DC_I2C_DDC4_TIME_LIMIT",
+ "value": []
+ }
+ ],
+ "name": "DC_I2C_DDC4_SETUP",
+ "size": 32,
+ "unit": [
+ "I2C"
+ ]
+ },
+ "0x00007DC0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "GENERICA_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "GENERICA_SEL",
+ "value": []
+ }
+ ],
+ "name": "DC_GENERICA",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007DC4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "GENERICB_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "GENERICB_SEL",
+ "value": []
+ }
+ ],
+ "name": "DC_GENERICB",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007DCC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "DC_PAD_EXTERN_SIG_SEL",
+ "value": []
+ }
+ ],
+ "name": "DC_PAD_EXTERN_SIG",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007DD4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "HSYNCA_OUTPUT_SEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 9,
+ "description": "",
+ "name": "HSYNCB_OUTPUT_SEL",
+ "value": []
+ }
+ ],
+ "name": "DC_REF_CLK_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007DE0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_GPIO_GENERICA_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DC_GPIO_GENERICB_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "DC_GPIO_GENERICC_MASK",
+ "value": []
+ }
+ ],
+ "name": "DC_GPIO_GENERIC_MASK",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007DE4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_GPIO_GENERICA_A",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DC_GPIO_GENERICB_A",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "DC_GPIO_GENERICC_A",
+ "value": []
+ }
+ ],
+ "name": "DC_GPIO_GENERIC_A",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007DE8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_GPIO_GENERICA_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DC_GPIO_GENERICB_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "DC_GPIO_GENERICC_EN",
+ "value": []
+ }
+ ],
+ "name": "DC_GPIO_GENERIC_EN",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007DEC": {
+ "field": [],
+ "name": "DC_GPIO_GENERIC_Y",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007E00": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_GPIO_DDC4CLK_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DC_GPIO_DDC4DATA_MASK",
+ "value": []
+ }
+ ],
+ "name": "DC_GPIO_DDC4_MASK",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007E04": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_GPIO_DDC4CLK_A",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DC_GPIO_DDC4DATA_A",
+ "value": []
+ }
+ ],
+ "name": "DC_GPIO_DDC4_A",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007E08": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_GPIO_DDC4CLK_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DC_GPIO_DDC4DATA_EN",
+ "value": []
+ }
+ ],
+ "name": "DC_GPIO_DDC4_EN",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007E0C": {
+ "field": [],
+ "name": "DC_GPIO_DDC4_Y",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007E2C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_GPIO_VIPGPIO_DEBUG",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 9,
+ "description": "",
+ "name": "DC_GPIO_MACRO_DEBUG",
+ "value": []
+ }
+ ],
+ "name": "DC_GPIO_DEBUG",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007E30": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 23,
+ "description": "",
+ "name": "DC_GPIO_DVODATA_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 26,
+ "description": "",
+ "name": "DC_GPIO_DVOCNTL_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "DC_GPIO_DVOCLK_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 30,
+ "bl": 31,
+ "description": "",
+ "name": "DC_GPIO_MVP_DVOCNTL_MASK",
+ "value": []
+ }
+ ],
+ "name": "DC_GPIO_DVODATA_MASK",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007E34": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 23,
+ "description": "",
+ "name": "DC_GPIO_DVODATA_A",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 26,
+ "description": "",
+ "name": "DC_GPIO_DVOCNTL_A",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "DC_GPIO_DVOCLK_A",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 30,
+ "bl": 31,
+ "description": "",
+ "name": "DC_GPIO_MVP_DVOCNTL_A",
+ "value": []
+ }
+ ],
+ "name": "DC_GPIO_DVODATA_A",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007E38": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 23,
+ "description": "",
+ "name": "DC_GPIO_DVODATA_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 26,
+ "description": "",
+ "name": "DC_GPIO_DVOCNTL_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 28,
+ "description": "",
+ "name": "DC_GPIO_DVOCLK_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 30,
+ "bl": 31,
+ "description": "",
+ "name": "DC_GPIO_MVP_DVOCNTL_EN",
+ "value": []
+ }
+ ],
+ "name": "DC_GPIO_DVODATA_EN",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007E3C": {
+ "field": [],
+ "name": "DC_GPIO_DVODATA_Y",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007E40": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_GPIO_DDC1CLK_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "DC_GPIO_DDC1CLK_PD_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "DC_GPIO_DDC1CLK_PU_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DC_GPIO_DDC1DATA_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "DC_GPIO_DDC1DATA_PD_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 14,
+ "bl": 14,
+ "description": "",
+ "name": "DC_GPIO_DDC1DATA_PU_EN",
+ "value": []
+ }
+ ],
+ "name": "DC_GPIO_DDC1_MASK",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007E44": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_GPIO_DDC1CLK_A",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DC_GPIO_DDC1DATA_A",
+ "value": []
+ }
+ ],
+ "name": "DC_GPIO_DDC1_A",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007E48": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_GPIO_DDC1CLK_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DC_GPIO_DDC1DATA_EN",
+ "value": []
+ }
+ ],
+ "name": "DC_GPIO_DDC1_EN",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007E4C": {
+ "field": [],
+ "name": "DC_GPIO_DDC1_Y",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007E50": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_GPIO_DDC2CLK_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DC_GPIO_DDC2DATA_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "DC_GPIO_DDC2DATA_PD_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 14,
+ "bl": 14,
+ "description": "",
+ "name": "DC_GPIO_DDC2DATA_PU_EN",
+ "value": []
+ }
+ ],
+ "name": "DC_GPIO_DDC2_MASK",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007E54": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_GPIO_DDC2CLK_A",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DC_GPIO_DDC2DATA_A",
+ "value": []
+ }
+ ],
+ "name": "DC_GPIO_DDC2_A",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007E58": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_GPIO_DDC2CLK_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DC_GPIO_DDC2DATA_EN",
+ "value": []
+ }
+ ],
+ "name": "DC_GPIO_DDC2_EN",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007E5C": {
+ "field": [],
+ "name": "DC_GPIO_DDC2_Y",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007E60": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_GPIO_DDC3CLK_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DC_GPIO_DDC3DATA_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "DC_GPIO_DDC3DATA_PD_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 14,
+ "bl": 14,
+ "description": "",
+ "name": "DC_GPIO_DDC3DATA_PU_EN",
+ "value": []
+ }
+ ],
+ "name": "DC_GPIO_DDC3_MASK",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007E64": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_GPIO_DDC3CLK_A",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DC_GPIO_DDC3DATA_A",
+ "value": []
+ }
+ ],
+ "name": "DC_GPIO_DDC3_A",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007E68": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_GPIO_DDC3CLK_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DC_GPIO_DDC3DATA_EN",
+ "value": []
+ }
+ ],
+ "name": "DC_GPIO_DDC3_EN",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007E6C": {
+ "field": [],
+ "name": "DC_GPIO_DDC3_Y",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007E70": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_GPIO_HSYNCA_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "DC_GPIO_HSYNCA_PD_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "DC_GPIO_HSYNCA_PU_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DC_GPIO_VSYNCA_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "DC_GPIO_VSYNCA_PD_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 14,
+ "bl": 14,
+ "description": "",
+ "name": "DC_GPIO_VSYNCA_PU_EN",
+ "value": []
+ }
+ ],
+ "name": "DC_GPIO_SYNCA_MASK",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007E74": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_GPIO_HSYNCA_A",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DC_GPIO_VSYNCA_A",
+ "value": []
+ }
+ ],
+ "name": "DC_GPIO_SYNCA_A",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007E78": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_GPIO_HSYNCA_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DC_GPIO_VSYNCA_EN",
+ "value": []
+ }
+ ],
+ "name": "DC_GPIO_SYNCA_EN",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007E7C": {
+ "field": [],
+ "name": "DC_GPIO_SYNCA_Y",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007E80": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_GPIO_HSYNCB_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DC_GPIO_VSYNCB_MASK",
+ "value": []
+ }
+ ],
+ "name": "DC_GPIO_SYNCB_MASK",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007E84": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_GPIO_HSYNCB_A",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DC_GPIO_VSYNCB_A",
+ "value": []
+ }
+ ],
+ "name": "DC_GPIO_SYNCB_A",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007E88": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_GPIO_HSYNCB_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DC_GPIO_VSYNCB_EN",
+ "value": []
+ }
+ ],
+ "name": "DC_GPIO_SYNCB_EN",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007E8C": {
+ "field": [],
+ "name": "DC_GPIO_SYNCB_Y",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007E90": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_GPIO_HPD1_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "DC_GPIO_HPD1_PD_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "DC_GPIO_HPD1_PU_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DC_GPIO_HPD2_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "DC_GPIO_HPD3_MASK",
+ "value": []
+ }
+ ],
+ "name": "DC_GPIO_HPD_MASK",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007E94": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_GPIO_HPD1_A",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DC_GPIO_HPD2_A",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "DC_GPIO_HPD3_A",
+ "value": []
+ }
+ ],
+ "name": "DC_GPIO_HPD_A",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007E98": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_GPIO_HPD1_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DC_GPIO_HPD2_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "DC_GPIO_HPD3_EN",
+ "value": []
+ }
+ ],
+ "name": "DC_GPIO_HPD_EN",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007E9C": {
+ "field": [],
+ "name": "DC_GPIO_HPD_Y",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007EA0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_GPIO_BLON_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "DC_GPIO_BLON_PD_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 6,
+ "description": "",
+ "name": "DC_GPIO_BLON_PU_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DC_GPIO_DIGON_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "DC_GPIO_DIGON_PD_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 14,
+ "bl": 14,
+ "description": "",
+ "name": "DC_GPIO_DIGON_PU_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "DC_GPIO_ENA_BL_MASK",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "DC_GPIO_ENA_BL_PD_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 22,
+ "description": "",
+ "name": "DC_GPIO_ENA_BL_PU_EN",
+ "value": []
+ }
+ ],
+ "name": "DC_GPIO_PWRSEQ_MASK",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007EA4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_GPIO_BLON_A",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DC_GPIO_DIGON_A",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "DC_GPIO_ENA_BL_A",
+ "value": []
+ }
+ ],
+ "name": "DC_GPIO_PWRSEQ_A",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007EA8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "DC_GPIO_BLON_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "DC_GPIO_DIGON_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "DC_GPIO_ENA_BL_EN",
+ "value": []
+ }
+ ],
+ "name": "DC_GPIO_PWRSEQ_EN",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007EAC": {
+ "field": [],
+ "name": "DC_GPIO_PWRSEQ_Y",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007ED0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "DACA_CAPTURE_START_INT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "DACB_CAPTURE_START_INT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 14,
+ "bl": 14,
+ "description": "",
+ "name": "TMDSA_CAPTURE_START_INT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 15,
+ "description": "",
+ "name": "LVTMA_CAPTURE_START_INT_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "DVOA_CAPTURE_START_INT_EN",
+ "value": []
+ }
+ ],
+ "name": "CAPTURE_START_STATUS",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007ED4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 27,
+ "description": "",
+ "name": "SYNC_STRENGTH_SN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 31,
+ "description": "",
+ "name": "SYNC_STRENGTH_SP",
+ "value": []
+ }
+ ],
+ "name": "DC_GPIO_PAD_STRENGTH_1",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007ED8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "STRENGTH_SN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "STRENGTH_SP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "PWRSEQ_STRENGTH_SN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 23,
+ "description": "",
+ "name": "PWRSEQ_STRENGTH_SP",
+ "value": []
+ }
+ ],
+ "name": "DC_GPIO_PAD_STRENGTH_2",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007EDC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "SCL_DISP1_MODE_CHANGE_INTERRU",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "SCL_DISP2_MODE_CHANGE_INTERRU",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "CRTC1_FORCE_VSYNC_NEXT_LINE_I",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "CRTC1_FORCE_COUNT_NOW_INTERR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "CRTC2_FORCE_VSYNC_NEXT_LINE_I",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 13,
+ "description": "",
+ "name": "CRTC2_FORCE_COUNT_NOW_INTERR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 18,
+ "description": "",
+ "name": "DC_HOT_PLUG_DETECT1_INTERRUPT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 19,
+ "bl": 19,
+ "description": "",
+ "name": "DC_HOT_PLUG_DETECT2_INTERRUPT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 23,
+ "bl": 23,
+ "description": "",
+ "name": "DACA_CAPTURE_START_INTERRUPT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "DACB_CAPTURE_START_INTERRUPT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "TMDSA_CAPTURE_START_INTERRUP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 26,
+ "description": "",
+ "name": "LVTMA_CAPTURE_START_INTERRUPT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 27,
+ "bl": 27,
+ "description": "",
+ "name": "DVOA_CAPTURE_START_INTERRUPT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "DISP_INTERRUPT_STATUS_CONTINU",
+ "value": []
+ }
+ ],
+ "name": "DISP_INTERRUPT_STATUS",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007EE0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "PWRDN_WAIT_BUSY_OFF",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "PWRDN_WAIT_PWRSEQ_OFF",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 8,
+ "description": "",
+ "name": "PWRDN_WAIT_PPLL_OFF",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 12,
+ "description": "",
+ "name": "PWRUP_WAIT_PPLL_ON",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "PWRUP_WAIT_MEM_INIT_DONE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "PM_ASSERT_RESET",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "PM_PWRDN_PPLL",
+ "value": []
+ }
+ ],
+ "name": "DOUT_POWER_MANAGEMENT_CNTL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007EE8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "D1MODE_DATA_UNDERFLOW_INTERR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "D1MODE_REQUEST_UNDERFLOW_IN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 18,
+ "description": "",
+ "name": "D1SCL_DATA_UNDERFLOW_INTERRU",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 19,
+ "bl": 19,
+ "description": "",
+ "name": "D1SCL_HOST_CONFLICT_INTERRUPT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "D2MODE_DATA_UNDERFLOW_INTERR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 21,
+ "description": "",
+ "name": "D2MODE_REQUEST_UNDERFLOW_IN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 22,
+ "description": "",
+ "name": "D2SCL_DATA_UNDERFLOW_INTERRU",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 23,
+ "bl": 23,
+ "description": "",
+ "name": "D2SCL_HOST_CONFLICT_INTERRUPT",
+ "value": []
+ }
+ ],
+ "name": "DISP_INTERRUPT_STATUS_CONTINUE",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00007EF0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 24,
+ "description": "",
+ "name": "DISP_TIMER_INT_COUNT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 27,
+ "bl": 27,
+ "description": "",
+ "name": "DISP_TIMER_INT_MSK",
+ "value": []
+ }
+ ],
+ "name": "DISP_TIMER_CONTROL",
+ "size": 32,
+ "unit": [
+ "DISP"
+ ]
+ },
+ "0x00008000": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "GRBM_READ_TIMEOUT",
+ "value": []
+ }
+ ],
+ "name": "GRBM_CNTL",
+ "size": 32,
+ "unit": [
+ "GRBM"
+ ]
+ },
+ "0x00008010": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 4,
+ "description": "",
+ "name": "CMDFIFO_AVAIL",
+ "value": []
+ }
+ ],
+ "name": "GRBM_STATUS",
+ "size": 32,
+ "unit": [
+ "GRBM"
+ ]
+ },
+ "0x00008014": {
+ "field": [],
+ "name": "GRBM_STATUS2",
+ "size": 32,
+ "unit": [
+ "GRBM"
+ ]
+ },
+ "0x00008020": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "SOFT_RESET_CP",
+ "value": []
+ }
+ ],
+ "name": "GRBM_SOFT_RESET",
+ "size": 32,
+ "unit": [
+ "GRBM"
+ ]
+ },
+ "0x00008040": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 14,
+ "bl": 14,
+ "description": "",
+ "name": "WAIT_2D_IDLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 15,
+ "description": "",
+ "name": "WAIT_3D_IDLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 16,
+ "description": "",
+ "name": "WAIT_2D_IDLECLEAN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 17,
+ "description": "",
+ "name": "WAIT_3D_IDLECLEAN",
+ "value": []
+ }
+ ],
+ "name": "WAIT_UNTIL",
+ "size": 32,
+ "unit": [
+ "GRBM"
+ ]
+ },
+ "0x00008060": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "RDERR_INT_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "WAIT_COUNT_TIMEOUT_INT_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 19,
+ "bl": 19,
+ "description": "",
+ "name": "GUI_IDLE_INT_ENABLE",
+ "value": []
+ }
+ ],
+ "name": "GRBM_INT_CNTL",
+ "size": 32,
+ "unit": [
+ "GRBM"
+ ]
+ },
+ "0x00008500": {
+ "field": [],
+ "name": "SCRATCH_REG0",
+ "size": 32,
+ "unit": [
+ "CP"
+ ]
+ },
+ "0x00008504": {
+ "field": [],
+ "name": "SCRATCH_REG1",
+ "size": 32,
+ "unit": [
+ "CP"
+ ]
+ },
+ "0x00008508": {
+ "field": [],
+ "name": "SCRATCH_REG2",
+ "size": 32,
+ "unit": [
+ "CP"
+ ]
+ },
+ "0x0000850C": {
+ "field": [],
+ "name": "SCRATCH_REG3",
+ "size": 32,
+ "unit": [
+ "CP"
+ ]
+ },
+ "0x00008510": {
+ "field": [],
+ "name": "SCRATCH_REG4",
+ "size": 32,
+ "unit": [
+ "CP"
+ ]
+ },
+ "0x00008514": {
+ "field": [],
+ "name": "SCRATCH_REG5",
+ "size": 32,
+ "unit": [
+ "CP"
+ ]
+ },
+ "0x00008518": {
+ "field": [],
+ "name": "SCRATCH_REG6",
+ "size": 32,
+ "unit": [
+ "CP"
+ ]
+ },
+ "0x0000851C": {
+ "field": [],
+ "name": "SCRATCH_REG7",
+ "size": 32,
+ "unit": [
+ "CP"
+ ]
+ },
+ "0x00008540": {
+ "field": [],
+ "name": "SCRATCH_UMSK",
+ "size": 32,
+ "unit": [
+ "CP"
+ ]
+ },
+ "0x00008544": {
+ "field": [],
+ "name": "SCRATCH_ADDR",
+ "size": 32,
+ "unit": [
+ "CP"
+ ]
+ },
+ "0x000085BC": {
+ "field": [],
+ "name": "CP_SEM_WAIT_TIMER",
+ "size": 32,
+ "unit": [
+ "CP"
+ ]
+ },
+ "0x000085F8": {
+ "field": [],
+ "name": "CP_COHER_BASE",
+ "size": 32,
+ "unit": [
+ "CP"
+ ]
+ },
+ "0x00008680": {
+ "field": [],
+ "name": "CP_STAT",
+ "size": 32,
+ "unit": [
+ "CP"
+ ]
+ },
+ "0x000086D8": {
+ "field": [],
+ "name": "CP_ME_CNTL",
+ "size": 32,
+ "unit": [
+ "CP"
+ ]
+ },
+ "0x00008700": {
+ "field": [],
+ "name": "CP_RB_RPTR",
+ "size": 32,
+ "unit": [
+ "CP"
+ ]
+ },
+ "0x00008704": {
+ "field": [],
+ "name": "CP_RB_WPTR_DELAY",
+ "size": 32,
+ "unit": [
+ "CP"
+ ]
+ },
+ "0x00008760": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 5,
+ "description": "",
+ "name": "ROQ_IB1_START",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 13,
+ "description": "",
+ "name": "ROQ_IB2_START",
+ "value": []
+ }
+ ],
+ "name": "CP_QUEUE_THRESHOLDS",
+ "size": 32,
+ "unit": [
+ "CP"
+ ]
+ },
+ "0x00008764": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 22,
+ "description": "",
+ "name": "MEQ_END",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 30,
+ "description": "",
+ "name": "ROQ_END",
+ "value": []
+ }
+ ],
+ "name": "CP_MEQ_THRESHOLDS",
+ "size": 32,
+ "unit": [
+ "CP"
+ ]
+ },
+ "0x00008784": {
+ "field": [],
+ "name": "CP_ROQ_IB1_STAT",
+ "size": 32,
+ "unit": [
+ "CP"
+ ]
+ },
+ "0x00008788": {
+ "field": [],
+ "name": "CP_ROQ_IB2_STAT",
+ "size": 32,
+ "unit": [
+ "CP"
+ ]
+ },
+ "0x000087FC": {
+ "field": [],
+ "name": "CP_PERFMON_CNTL",
+ "size": 32,
+ "unit": [
+ "CP"
+ ]
+ },
+ "0x00008954": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "INACTIVE_QD_PIPES",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "INACTIVE_SIMDS",
+ "value": []
+ }
+ ],
+ "name": "GC_USER_SHADER_PIPE_CONFIG",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00008A14": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "CLIP_VTX_REORDER_ENA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 2,
+ "description": "",
+ "name": "NUM_CLIP_SEQ",
+ "value": []
+ }
+ ],
+ "name": "PA_CL_ENHANCE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00008C00": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "VC_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "EXPORT_SRC_C",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "DX9_CONSTS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "ALU_INST_PREFER_VECTOR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "DX10_CLAMP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 9,
+ "description": "",
+ "name": "CLAUSE_SEQ_PRIO",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 25,
+ "description": "",
+ "name": "PS_PRIO",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 27,
+ "description": "",
+ "name": "VS_PRIO",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 29,
+ "description": "",
+ "name": "GS_PRIO",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 30,
+ "bl": 31,
+ "description": "",
+ "name": "ES_PRIO",
+ "value": []
+ }
+ ],
+ "name": "SQ_CONFIG",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00008C04": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "NUM_PS_GPRS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "NUM_VS_GPRS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 31,
+ "description": "",
+ "name": "NUM_CLAUSE_TEMP_GPRS",
+ "value": []
+ }
+ ],
+ "name": "SQ_GPR_RESOURCE_MGMT_1",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00008C08": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "NUM_GS_GPRS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "NUM_ES_GPRS",
+ "value": []
+ }
+ ],
+ "name": "SQ_GPR_RESOURCE_MGMT_2",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00008C0C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 7,
+ "description": "",
+ "name": "NUM_PS_THREADS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 15,
+ "description": "",
+ "name": "NUM_VS_THREADS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 23,
+ "description": "",
+ "name": "NUM_GS_THREADS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 31,
+ "description": "",
+ "name": "NUM_ES_THREADS",
+ "value": []
+ }
+ ],
+ "name": "SQ_THREAD_RESOURCE_MGMT",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00008C10": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 11,
+ "description": "",
+ "name": "NUM_PS_STACK_ENTRIES",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 27,
+ "description": "",
+ "name": "NUM_VS_STACK_ENTRIES",
+ "value": []
+ }
+ ],
+ "name": "SQ_STACK_RESOURCE_MGMT_1",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00008C14": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 11,
+ "description": "",
+ "name": "NUM_GS_STACK_ENTRIES",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 27,
+ "description": "",
+ "name": "NUM_ES_STACK_ENTRIES",
+ "value": []
+ }
+ ],
+ "name": "SQ_STACK_RESOURCE_MGMT_2",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00008C40": {
+ "field": [],
+ "name": "SQ_ESGS_RING_BASE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00008C44": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "MEM_SIZE",
+ "value": []
+ }
+ ],
+ "name": "SQ_ESGS_RING_SIZE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00008C48": {
+ "field": [],
+ "name": "SQ_GSVS_RING_BASE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00008C4C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "MEM_SIZE",
+ "value": []
+ }
+ ],
+ "name": "SQ_GSVS_RING_SIZE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00008C50": {
+ "field": [],
+ "name": "SQ_ESTMP_RING_BASE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00008C54": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "MEM_SIZE",
+ "value": []
+ }
+ ],
+ "name": "SQ_ESTMP_RING_SIZE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00008C58": {
+ "field": [],
+ "name": "SQ_GSTMP_RING_BASE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00008C5C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "MEM_SIZE",
+ "value": []
+ }
+ ],
+ "name": "SQ_GSTMP_RING_SIZE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00008C60": {
+ "field": [],
+ "name": "SQ_VSTMP_RING_BASE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00008C64": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "MEM_SIZE",
+ "value": []
+ }
+ ],
+ "name": "SQ_VSTMP_RING_SIZE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00008C68": {
+ "field": [],
+ "name": "SQ_PSTMP_RING_BASE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00008C6C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "MEM_SIZE",
+ "value": []
+ }
+ ],
+ "name": "SQ_PSTMP_RING_SIZE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00008C70": {
+ "field": [],
+ "name": "SQ_FBUF_RING_BASE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00008C74": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "MEM_SIZE",
+ "value": []
+ }
+ ],
+ "name": "SQ_FBUF_RING_SIZE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00008C78": {
+ "field": [],
+ "name": "SQ_REDUC_RING_BASE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00008C7C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "MEM_SIZE",
+ "value": []
+ }
+ ],
+ "name": "SQ_REDUC_RING_SIZE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00009100": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 4,
+ "description": "",
+ "name": "GPR_WRITE_PRIORITY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 5,
+ "description": "",
+ "name": "DISABLE_INTERP_1",
+ "value": []
+ }
+ ],
+ "name": "SPI_CONFIG_CNTL",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x0000913C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "VTX_DONE_DELAY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "INTERP_ONE_PRIM_PER_ROW",
+ "value": []
+ }
+ ],
+ "name": "SPI_CONFIG_CNTL_1",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00009830": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "PREZ_MUST_WAIT_FOR_POSTZ_DONE",
+ "value": []
+ }
+ ],
+ "name": "DB_DEBUG",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00009838": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 4,
+ "description": "",
+ "name": "DEPTH_FREE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 5,
+ "bl": 10,
+ "description": "",
+ "name": "DEPTH_FLUSH",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 19,
+ "description": "",
+ "name": "DEPTH_PENDING_FREE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 24,
+ "description": "",
+ "name": "DEPTH_CACHELINE_FREE",
+ "value": []
+ }
+ ],
+ "name": "DB_WATERMARKS",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x000098F0": {
+ "field": [],
+ "name": "GB_TILING_CONFIG",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x0000C100": {
+ "field": [],
+ "name": "CP_RB_BASE",
+ "size": 32,
+ "unit": [
+ "CP"
+ ]
+ },
+ "0x0000C104": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 5,
+ "description": "",
+ "name": "RB_BUFSZ",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 13,
+ "description": "",
+ "name": "RB_BLKSZ",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 27,
+ "bl": 27,
+ "description": "",
+ "name": "RB_NO_UPDATE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "RB_RPTR_WR_ENA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "BUF_SWAP",
+ "value": [
+ {
+ "description": "",
+ "name": "BUF_SWAP_16BIT",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "BUF_SWAP_32BIT",
+ "value": 0
+ }
+ ]
+ }
+ ],
+ "name": "CP_RB_CNTL",
+ "size": 32,
+ "unit": [
+ "CP"
+ ]
+ },
+ "0x0000C108": {
+ "field": [],
+ "name": "CP_RB_RPTR_WR",
+ "size": 32,
+ "unit": [
+ "CP"
+ ]
+ },
+ "0x0000C10C": {
+ "field": [],
+ "name": "CP_RB_RPTR_ADDR",
+ "size": 32,
+ "unit": [
+ "CP"
+ ]
+ },
+ "0x0000C110": {
+ "field": [],
+ "name": "CP_RB_RPTR_ADDR_HI",
+ "size": 32,
+ "unit": [
+ "CP"
+ ]
+ },
+ "0x0000C114": {
+ "field": [],
+ "name": "CP_RB_WPTR",
+ "size": 32,
+ "unit": [
+ "CP"
+ ]
+ },
+ "0x0000C118": {
+ "field": [],
+ "name": "CP_RB_WPTR_ADDR",
+ "size": 32,
+ "unit": [
+ "CP"
+ ]
+ },
+ "0x0000C11C": {
+ "field": [],
+ "name": "CP_RB_WPTR_ADDR_HI",
+ "size": 32,
+ "unit": [
+ "CP"
+ ]
+ },
+ "0x0000C124": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 19,
+ "bl": 19,
+ "description": "",
+ "name": "CNTX_BUSY_INT_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "CNTX_EMPTY_INT_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "SCRATCH_INT_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 26,
+ "description": "",
+ "name": "TIME_STAMP_INT_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 29,
+ "bl": 29,
+ "description": "",
+ "name": "IB2_INT_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 30,
+ "bl": 30,
+ "description": "",
+ "name": "IB1_INT_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "RB_INT_ENABLE",
+ "value": []
+ }
+ ],
+ "name": "CP_INT_CNTL",
+ "size": 32,
+ "unit": [
+ "CP"
+ ]
+ },
+ "0x0000C128": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "SCRATCH_INT_STAT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 26,
+ "description": "",
+ "name": "TIME_STAMP_INT_STAT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 29,
+ "bl": 29,
+ "description": "",
+ "name": "IB2_INT_STAT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 30,
+ "bl": 30,
+ "description": "",
+ "name": "IB1_INT_STAT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "RB_INT_STAT",
+ "value": []
+ }
+ ],
+ "name": "CP_INT_STATUS",
+ "size": 32,
+ "unit": [
+ "CP"
+ ]
+ },
+ "0x0000C150": {
+ "field": [],
+ "name": "CP_PFP_UCODE_ADDR",
+ "size": 32,
+ "unit": [
+ "CP"
+ ]
+ },
+ "0x0000C154": {
+ "field": [],
+ "name": "CP_PFP_UCODE_DATA",
+ "size": 32,
+ "unit": [
+ "CP"
+ ]
+ },
+ "0x0000C158": {
+ "field": [],
+ "name": "CP_ME_RAM_RADDR",
+ "size": 32,
+ "unit": [
+ "CP"
+ ]
+ },
+ "0x0000C15C": {
+ "field": [],
+ "name": "CP_ME_RAM_WADDR",
+ "size": 32,
+ "unit": [
+ "CP"
+ ]
+ },
+ "0x0000C160": {
+ "field": [],
+ "name": "CP_ME_RAM_DATA",
+ "size": 32,
+ "unit": [
+ "CP"
+ ]
+ },
+ "0x0000C1FC": {
+ "field": [],
+ "name": "CP_DEBUG",
+ "size": 32,
+ "unit": [
+ "CP"
+ ]
+ },
+ "0x00028000": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 9,
+ "description": "",
+ "name": "PITCH_TILE_MAX",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 29,
+ "description": "",
+ "name": "SLICE_TILE_MAX",
+ "value": []
+ }
+ ],
+ "name": "DB_DEPTH_SIZE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00028004": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 10,
+ "description": "",
+ "name": "SLICE_START",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 23,
+ "description": "",
+ "name": "SLICE_MAX",
+ "value": []
+ }
+ ],
+ "name": "DB_DEPTH_VIEW",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x0002800C": {
+ "field": [],
+ "name": "DB_DEPTH_BASE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00028010": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "FORMAT",
+ "value": [
+ {
+ "description": "",
+ "name": "DEPTH_INVALID",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "DEPTH_16",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "DEPTH_X8_24",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "DEPTH_8_24",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "DEPTH_X8_24_FLOAT",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "DEPTH_8_24_FLOAT",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "DEPTH_32_FLOAT",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "DEPTH_X24_8_32_FLOAT",
+ "value": 0
+ }
+ ]
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "READ_SIZE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 18,
+ "description": "",
+ "name": "ARRAY_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "TILE_SURFACE_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 26,
+ "description": "",
+ "name": "TILE_COMPACT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 31,
+ "bl": 31,
+ "description": "",
+ "name": "ZRANGE_PRECISION",
+ "value": []
+ }
+ ],
+ "name": "DB_DEPTH_INFO",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00028014": {
+ "field": [],
+ "name": "DB_HTILE_DATA_BASE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00028060": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 9,
+ "description": "",
+ "name": "PITCH_TILE_MAX",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 29,
+ "description": "",
+ "name": "SLICE_TILE_MAX",
+ "value": []
+ }
+ ],
+ "name": "CB_COLOR0_SIZE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00028064": {
+ "field": [],
+ "name": "CB_COLOR1_SIZE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00028068": {
+ "field": [],
+ "name": "CB_COLOR2_SIZE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x0002806C": {
+ "field": [],
+ "name": "CB_COLOR3_SIZE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00028070": {
+ "field": [],
+ "name": "CB_COLOR4_SIZE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00028074": {
+ "field": [],
+ "name": "CB_COLOR5_SIZE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00028078": {
+ "field": [],
+ "name": "CB_COLOR6_SIZE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x0002807C": {
+ "field": [],
+ "name": "CB_COLOR7_SIZE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x000280A0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "ENDIAN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 7,
+ "description": "",
+ "name": "FORMAT",
+ "value": [
+ {
+ "description": "",
+ "name": "COLOR_INVALID",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_8",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_4_4",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_3_3_2",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_16",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_16_FLOAT",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_8_8",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_5_6_5",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_6_5_5",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_1_5_5_5",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_4_4_4_4",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_5_5_5_1",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_32",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_32_FLOAT",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_16_16",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_16_16_FLOAT",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_8_24",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_8_24_FLOAT",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_24_8",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_24_8_FLOAT",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_10_11_11",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_10_11_11_FLOAT",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_11_11_10",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_11_11_10_FLOAT",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_2_10_10_10",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_8_8_8_8",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_10_10_10_2",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_X24_8_32_FLOAT",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_32_32",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_32_32_FLOAT",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_16_16_16_16",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_16_16_16_16_FLOAT",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_32_32_32_32",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_32_32_32_32_FLOAT",
+ "value": 0
+ }
+ ]
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "ARRAY_MODE",
+ "value": [
+ {
+ "description": "",
+ "name": "ARRAY_LINEAR_GENERAL",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "ARRAY_LINEAR_ALIGNED",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "ARRAY_1D_TILED_THIN1",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "ARRAY_2D_TILED_THIN1",
+ "value": 0
+ }
+ ]
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 14,
+ "description": "",
+ "name": "NUMBER_TYPE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 15,
+ "bl": 15,
+ "description": "",
+ "name": "READ_SIZE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 17,
+ "description": "",
+ "name": "COMP_SWAP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 18,
+ "bl": 19,
+ "description": "",
+ "name": "TILE_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 20,
+ "description": "",
+ "name": "BLEND_CLAMP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 21,
+ "bl": 21,
+ "description": "",
+ "name": "CLEAR_COLOR",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 22,
+ "description": "",
+ "name": "BLEND_BYPASS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 23,
+ "bl": 23,
+ "description": "",
+ "name": "BLEND_FLOAT32",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 24,
+ "description": "",
+ "name": "SIMPLE_FLOAT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 25,
+ "description": "",
+ "name": "ROUND_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 26,
+ "description": "",
+ "name": "TILE_COMPACT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 27,
+ "bl": 27,
+ "description": "",
+ "name": "SOURCE_FORMAT",
+ "value": []
+ }
+ ],
+ "name": "CB_COLOR0_INFO",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x000280A4": {
+ "field": [],
+ "name": "CB_COLOR1_INFO",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x000280A8": {
+ "field": [],
+ "name": "CB_COLOR2_INFO",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x000280AC": {
+ "field": [],
+ "name": "CB_COLOR3_INFO",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x000280B0": {
+ "field": [],
+ "name": "CB_COLOR4_INFO",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x000280B4": {
+ "field": [],
+ "name": "CB_COLOR5_INFO",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x000280B8": {
+ "field": [],
+ "name": "CB_COLOR6_INFO",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x000280BC": {
+ "field": [],
+ "name": "CB_COLOR7_INFO",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x000280C0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "BASE_256B",
+ "value": []
+ }
+ ],
+ "name": "CB_COLOR0_TILE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x000280C4": {
+ "field": [],
+ "name": "CB_COLOR1_TILE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x000280C8": {
+ "field": [],
+ "name": "CB_COLOR2_TILE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x000280CC": {
+ "field": [],
+ "name": "CB_COLOR3_TILE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x000280D0": {
+ "field": [],
+ "name": "CB_COLOR4_TILE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x000280D4": {
+ "field": [],
+ "name": "CB_COLOR5_TILE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x000280D8": {
+ "field": [],
+ "name": "CB_COLOR6_TILE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x000280DC": {
+ "field": [],
+ "name": "CB_COLOR7_TILE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x000280E0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "BASE_256B",
+ "value": []
+ }
+ ],
+ "name": "CB_COLOR0_FRAG",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x000280E4": {
+ "field": [],
+ "name": "CB_COLOR1_FRAG",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x000280E8": {
+ "field": [],
+ "name": "CB_COLOR2_FRAG",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x000280EC": {
+ "field": [],
+ "name": "CB_COLOR3_FRAG",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x000280F0": {
+ "field": [],
+ "name": "CB_COLOR4_FRAG",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x000280F4": {
+ "field": [],
+ "name": "CB_COLOR5_FRAG",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x000280F8": {
+ "field": [],
+ "name": "CB_COLOR6_FRAG",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x000280FC": {
+ "field": [],
+ "name": "CB_COLOR7_FRAG",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00028238": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "TARGET0_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "TARGET1_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "TARGET2_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "TARGET3_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "TARGET4_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 23,
+ "description": "",
+ "name": "TARGET5_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 27,
+ "description": "",
+ "name": "TARGET6_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 31,
+ "description": "",
+ "name": "TARGET7_ENABLE",
+ "value": []
+ }
+ ],
+ "name": "CB_TARGET_MASK",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x0002823C": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "OUTPUT0_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 7,
+ "description": "",
+ "name": "OUTPUT1_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 11,
+ "description": "",
+ "name": "OUTPUT2_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 15,
+ "description": "",
+ "name": "OUTPUT3_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 19,
+ "description": "",
+ "name": "OUTPUT4_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 23,
+ "description": "",
+ "name": "OUTPUT5_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 24,
+ "bl": 27,
+ "description": "",
+ "name": "OUTPUT6_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 31,
+ "description": "",
+ "name": "OUTPUT7_ENABLE",
+ "value": []
+ }
+ ],
+ "name": "CB_SHADER_MASK",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00028800": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "STENCIL_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "Z_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "Z_WRITE_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 6,
+ "description": "",
+ "name": "ZFUNC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "BACKFACE_ENABLE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 10,
+ "description": "",
+ "name": "STENCILFUNC",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 11,
+ "bl": 13,
+ "description": "",
+ "name": "STENCILFAIL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 14,
+ "bl": 16,
+ "description": "",
+ "name": "STENCILZPASS",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 19,
+ "description": "",
+ "name": "STENCILZFAIL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 20,
+ "bl": 22,
+ "description": "",
+ "name": "STENCILFUNC_BF",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 23,
+ "bl": 25,
+ "description": "",
+ "name": "STENCILFAIL_BF",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 28,
+ "description": "",
+ "name": "STENCILZPASS_BF",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 29,
+ "bl": 31,
+ "description": "",
+ "name": "STENCILZFAIL_BF",
+ "value": []
+ }
+ ],
+ "name": "DB_DEPTH_CONTROL",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x000288A8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 14,
+ "description": "",
+ "name": "ITEMSIZE",
+ "value": []
+ }
+ ],
+ "name": "SQ_ESGS_RING_ITEMSIZE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x000288AC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 14,
+ "description": "",
+ "name": "ITEMSIZE",
+ "value": []
+ }
+ ],
+ "name": "SQ_GSVS_RING_ITEMSIZE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x000288B0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 14,
+ "description": "",
+ "name": "ITEMSIZE",
+ "value": []
+ }
+ ],
+ "name": "SQ_ESTMP_RING_ITEMSIZE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x000288B4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 14,
+ "description": "",
+ "name": "ITEMSIZE",
+ "value": []
+ }
+ ],
+ "name": "SQ_GSTMP_RING_ITEMSIZE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x000288B8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 14,
+ "description": "",
+ "name": "ITEMSIZE",
+ "value": []
+ }
+ ],
+ "name": "SQ_VSTMP_RING_ITEMSIZE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x000288BC": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 14,
+ "description": "",
+ "name": "ITEMSIZE",
+ "value": []
+ }
+ ],
+ "name": "SQ_PSTMP_RING_ITEMSIZE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x000288C0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 14,
+ "description": "",
+ "name": "ITEMSIZE",
+ "value": []
+ }
+ ],
+ "name": "SQ_FBUF_RING_ITEMSIZE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x000288C4": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 14,
+ "description": "",
+ "name": "ITEMSIZE",
+ "value": []
+ }
+ ],
+ "name": "SQ_REDUC_RING_ITEMSIZE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x000288C8": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 14,
+ "description": "",
+ "name": "ITEMSIZE",
+ "value": []
+ }
+ ],
+ "name": "SQ_GS_VERT_ITEMSIZE",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00028AB0": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "STREAMOUT",
+ "value": []
+ }
+ ],
+ "name": "VGT_STRMOUT_EN",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00028B20": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 0,
+ "description": "",
+ "name": "BUFFER_0_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 1,
+ "bl": 1,
+ "description": "",
+ "name": "BUFFER_1_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 2,
+ "description": "",
+ "name": "BUFFER_2_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 3,
+ "description": "",
+ "name": "BUFFER_3_EN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 31,
+ "description": "",
+ "name": "SIZE",
+ "value": []
+ }
+ ],
+ "name": "VGT_STRMOUT_BUFFER_EN",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00028C04": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "MSAA_NUM_SAMPLES",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 4,
+ "description": "",
+ "name": "AA_MASK_CENTROID_DTMN",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 16,
+ "description": "",
+ "name": "MAX_SAMPLE_DIST",
+ "value": []
+ }
+ ],
+ "name": "PA_SC_AA_CONFIG",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00038000": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 2,
+ "description": "",
+ "name": "DIM",
+ "value": [
+ {
+ "description": "",
+ "name": "SQ_TEX_DIM_1D",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "SQ_TEX_DIM_2D",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "SQ_TEX_DIM_3D",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "SQ_TEX_DIM_CUBEMAP",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "SQ_TEX_DIM_1D_ARRAY",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "SQ_TEX_DIM_2D_ARRAY",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "SQ_TEX_DIM_2D_MSAA",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "SQ_TEX_DIM_2D_ARRAY_MSAA",
+ "value": 0
+ }
+ ]
+ },
+ {
+ "access": "RW",
+ "bf": 3,
+ "bl": 6,
+ "description": "",
+ "name": "TILE_MODE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 7,
+ "bl": 7,
+ "description": "",
+ "name": "TILE_TYPE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 18,
+ "description": "",
+ "name": "PITCH",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 19,
+ "bl": 31,
+ "description": "",
+ "name": "TEX_WIDTH",
+ "value": []
+ }
+ ],
+ "name": "SQ_TEX_RESOURCE_WORD0_0",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00038004": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 12,
+ "description": "",
+ "name": "TEX_HEIGHT",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 13,
+ "bl": 25,
+ "description": "",
+ "name": "TEX_DEPTH",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 26,
+ "bl": 31,
+ "description": "",
+ "name": "DATA_FORMAT",
+ "value": [
+ {
+ "description": "",
+ "name": "COLOR_INVALID",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_8",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_4_4",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_3_3_2",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_16",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_16_FLOAT",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_8_8",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_5_6_5",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_6_5_5",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_1_5_5_5",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_4_4_4_4",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_5_5_5_1",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_32",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_32_FLOAT",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_16_16",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_16_16_FLOAT",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_8_24",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_8_24_FLOAT",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_24_8",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_24_8_FLOAT",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_10_11_11",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_10_11_11_FLOAT",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_11_11_10",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_11_11_10_FLOAT",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_2_10_10_10",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_8_8_8_8",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_10_10_10_2",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_X24_8_32_FLOAT",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_32_32",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_32_32_FLOAT",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_16_16_16_16",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_16_16_16_16_FLOAT",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_32_32_32_32",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "COLOR_32_32_32_32_FLOAT",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "FMT_1",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "FMT_GB_GR",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "FMT_BG_RG",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "FMT_32_AS_8",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "FMT_32_AS_8_8",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "FMT_5_9_9_9_SHAREDEXP",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "FMT_8_8_8",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "FMT_16_16_16",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "FMT_16_16_16_FLOAT",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "FMT_32_32_32",
+ "value": 0
+ },
+ {
+ "description": "",
+ "name": "FMT_32_32_32_FLOAT",
+ "value": 0
+ }
+ ]
+ }
+ ],
+ "name": "SQ_TEX_RESOURCE_WORD1_0",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00038010": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 1,
+ "description": "",
+ "name": "FORMAT_COMP_X",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 2,
+ "bl": 3,
+ "description": "",
+ "name": "FORMAT_COMP_Y",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 5,
+ "description": "",
+ "name": "FORMAT_COMP_Z",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 6,
+ "bl": 7,
+ "description": "",
+ "name": "FORMAT_COMP_W",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 8,
+ "bl": 9,
+ "description": "",
+ "name": "NUM_FORMAT_ALL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 10,
+ "bl": 10,
+ "description": "",
+ "name": "SRF_MODE_ALL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 11,
+ "bl": 11,
+ "description": "",
+ "name": "FORCE_DEGAMMA",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 12,
+ "bl": 13,
+ "description": "",
+ "name": "ENDIAN_SWAP",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 14,
+ "bl": 15,
+ "description": "",
+ "name": "REQUEST_SIZE",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 16,
+ "bl": 18,
+ "description": "",
+ "name": "DST_SEL_X",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 19,
+ "bl": 21,
+ "description": "",
+ "name": "DST_SEL_Y",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 22,
+ "bl": 24,
+ "description": "",
+ "name": "DST_SEL_Z",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 25,
+ "bl": 27,
+ "description": "",
+ "name": "DST_SEL_W",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 28,
+ "bl": 31,
+ "description": "",
+ "name": "BASE_LEVEL",
+ "value": []
+ }
+ ],
+ "name": "SQ_TEX_RESOURCE_WORD4_0",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "0x00038014": {
+ "field": [
+ {
+ "access": "RW",
+ "bf": 0,
+ "bl": 3,
+ "description": "",
+ "name": "LAST_LEVEL",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 4,
+ "bl": 16,
+ "description": "",
+ "name": "BASE_ARRAY",
+ "value": []
+ },
+ {
+ "access": "RW",
+ "bf": 17,
+ "bl": 29,
+ "description": "",
+ "name": "LAST_ARRAY",
+ "value": []
+ }
+ ],
+ "name": "SQ_TEX_RESOURCE_WORD5_0",
+ "size": 32,
+ "unit": [
+ "3D"
+ ]
+ },
+ "name": "MMIO"
+ }
+ ],
+ "unit": [
+ "DISP",
+ "I2C",
+ "VIP",
+ "CAPTURE",
+ "PCIE",
+ "MC",
+ "VGA"
+ ]
+} \ No newline at end of file
diff --git a/src/Makefile.am b/src/Makefile.am
index 706d031..18ee294 100644
--- a/src/Makefile.am
+++ b/src/Makefile.am
@@ -30,7 +30,7 @@ noinst_HEADER = radeon.h radeon_priv.h r600_states.h mode.h
radeondb_SOURCES = radeondb.c bof.c radeon_pciid.c radeon_ctx.c radeon_bof.c\
radeon_json.c r600_state.c r600_ctx.c mode.c radeon.c radeon_state.c\
radeon_draw.c radeon_bo.c radeon_pci.c radeon_reg.c rs600_reg.c\
- r600_disassembler.c r100_reg.c
+ r600_disassembler.c r100_reg.c r600_reg.c
radeondb_LDADD = $(LIBJANSSON_LIBS) $(LIBDRM_LIBS) $(LIBDRM_RADEON_LIBS) $(PCIACCESS_LIBS)
rs600_reg.c: ../json/rs600.json ../tools/rdb.c
@@ -38,3 +38,6 @@ rs600_reg.c: ../json/rs600.json ../tools/rdb.c
r100_reg.c: ../json/r100.json ../tools/rdb.c
../tools/rdb -j ../json/r100.json -h r100 > r100_reg.c
+
+r600_reg.c: ../json/r600.json ../tools/rdb.c
+ ../tools/rdb -j ../json/r600.json -h r600 > r600_reg.c
diff --git a/src/r100_reg.c b/src/r100_reg.c
index 28ba1af..d6fac63 100644
--- a/src/r100_reg.c
+++ b/src/r100_reg.c
@@ -5,7 +5,7 @@ struct reg_block r100_block[] = {
{0x00000001, "DISP"},
};
-unsigned r100_nblock = 2;
+unsigned r100_nblock = 1;
struct reg r100_reg[] = {
{0x00000001, 0x00000001, "CLK_PIN_CNTL", r100_CLK_rreg, r100_CLK_wreg},
diff --git a/src/radeon_reg.c b/src/radeon_reg.c
index a2aef70..a992752 100644
--- a/src/radeon_reg.c
+++ b/src/radeon_reg.c
@@ -70,6 +70,11 @@ void radeon_register_dump(const char *bname)
case CHIP_RV635:
case CHIP_RS780:
case CHIP_RS880:
+ block = r600_block;
+ reg = r600_reg;
+ nblock = r600_nblock;
+ nreg = r600_nreg;
+ break;
case CHIP_RV770:
case CHIP_RV730:
case CHIP_RV710:
@@ -202,3 +207,35 @@ void rs600_MC_wreg(struct radeon *radeon, u32 offset, u32 value)
radeon_mmio_wr32(radeon, 0x0070, (offset & 0xFFFF) | (1 << 21) | (1 << 23));
radeon_mmio_wr32(radeon, 0x0074, value);
}
+
+/* R600 */
+u32 r600_MMIO_rreg(struct radeon *radeon, u32 offset)
+{
+ return radeon_mmio_rd32(radeon, offset);
+}
+
+void r600_MMIO_wreg(struct radeon *radeon, u32 offset, u32 value)
+{
+ radeon_mmio_wr32(radeon, offset, value);
+}
+
+u32 r600_VGA_rreg(struct radeon *radeon, u32 offset)
+{
+ return 0xFFFFF;
+}
+
+void r600_VGA_wreg(struct radeon *radeon, u32 offset, u32 value)
+{
+}
+
+u32 r600_PCIE_rreg(struct radeon *radeon, u32 offset)
+{
+ radeon_mmio_wr32(radeon, 0x0030, offset & 0xFF);
+ return radeon_mmio_rd32(radeon, 0x0034);
+}
+
+void r600_PCIE_wreg(struct radeon *radeon, u32 offset, u32 value)
+{
+ radeon_mmio_wr32(radeon, 0x0030, offset & 0xFF);
+ radeon_mmio_wr32(radeon, 0x0034, value);
+}
diff --git a/src/radeon_reg.h b/src/radeon_reg.h
index 62f80b6..4a69df3 100644
--- a/src/radeon_reg.h
+++ b/src/radeon_reg.h
@@ -67,4 +67,16 @@ extern struct reg rs600_reg[];
extern unsigned rs600_nblock;
extern unsigned rs600_nreg;
+/* R600 */
+u32 r600_MMIO_rreg(struct radeon *radeon, u32 offset);
+void r600_MMIO_wreg(struct radeon *radeon, u32 offset, u32 value);
+u32 r600_VGA_rreg(struct radeon *radeon, u32 offset);
+void r600_VGA_wreg(struct radeon *radeon, u32 offset, u32 value);
+u32 r600_PCIE_rreg(struct radeon *radeon, u32 offset);
+void r600_PCIE_wreg(struct radeon *radeon, u32 offset, u32 value);
+extern struct reg_block r600_block[];
+extern struct reg r600_reg[];
+extern unsigned r600_nblock;
+extern unsigned r600_nreg;
+
#endif
diff --git a/tools/rdb.c b/tools/rdb.c
index b34321e..37bb45f 100644
--- a/tools/rdb.c
+++ b/tools/rdb.c
@@ -143,7 +143,7 @@ int main(int argc, char *argv[])
printf("\t{0x%08X, \"%s\"},\n", 1 << i, json_string_value(tmp));
}
printf("};\n\n");
- printf("unsigned %s_nblock = %d;\n\n", param.header_name, json_array_size(rdb->block));
+ printf("unsigned %s_nblock = %d;\n\n", param.header_name, json_array_size(rdb->unit));
printf("struct reg %s_reg[] = {\n", param.header_name);
for (i = 0, c = 0; i < json_array_size(rdb->block); i++) {