summaryrefslogtreecommitdiff
AgeCommit message (Expand)AuthorFilesLines
2024-06-05tools/intel_vbt_decode: Extract dump_pnp_id()Ville Syrjälä1-7/+13
2024-06-05tools/intel_vbt_decode: Decode the "not HDMI" bit right way upVille Syrjälä1-3/+1
2024-06-05tools/intel_vbt_decode: Use "(LFP<n>)" to indicate LFP panel typeVille Syrjälä1-3/+3
2024-06-05tools/intel_vbt_decode: Indent ALS dumpVille Syrjälä1-2/+3
2024-06-05tools/intel_vbt_decode: Add missing newlineVille Syrjälä1-1/+1
2024-06-05tools/intel_vbt_decode: Fix some tabsVille Syrjälä1-2/+2
2024-06-05lib: Define DIV_ROUND_CLOSEST()Ville Syrjälä1-0/+1
2024-06-05tools/intel_vbt_decode: s/dump_sdvo_panel_dtd()/dump_sdvo_lvds_dtd()/Ville Syrjälä1-4/+4
2024-06-05tools/intel_vbt_decode: Finish the s/lvds/lfp/ renameVille Syrjälä1-27/+27
2024-06-05tests/intel-ci/xe: Add fbdev tests to XE BATBhanuprakash Modem1-0/+6
2024-06-05tests/intel-ci/xe: Drop fbdev tests from blocklistBhanuprakash Modem1-1/+0
2024-06-05tests/intel/kms_test_config: Add fbdev tests to testplanBhanuprakash Modem1-1/+1
2024-06-05tests/fbdev: Add testplan documentationBhanuprakash Modem1-0/+39
2024-06-05tests/fbdev: Fix fd closureBhanuprakash Modem1-1/+1
2024-06-05CONTRIBUTING: Add more guidelinesKamil Konieczny1-1/+21
2024-06-05tests/kms_plane: Convert to dynamic subtestsPranay Samala1-10/+17
2024-06-05tests/kms_plane: Reduce CI loggingPranay Samala1-20/+25
2024-05-31man: Add --decode to intel_reg.rstLucas De Marchi1-11/+11
2024-05-31lib/igt_fb: Mark Xe framebuffers as slow accessJuha-Pekka Heikkila1-2/+3
2024-05-31tools/intel_vbt_decode: sync intel_vbt_defs.h with kernel commit cd6891f8e371Jani Nikula1-13/+547
2024-05-31tools/intel_vbt_decode: sync intel_vbt_defs.h with kernel commit 8e26690851f9Jani Nikula2-8/+8
2024-05-31tools/intel_vbt_decode: sync intel_vbt_defs.h with kernel commit 6ac67ccf4c89Jani Nikula2-72/+72
2024-05-31tools/intel_vbt_decode: sync intel_vbt_defs.h with kernel commit 7234f948b58bJani Nikula2-64/+65
2024-05-31tools/intel_vbt_decode: sync intel_vbt_defs.h with kernel commit d9981f36e4f3Jani Nikula1-6/+2
2024-05-30tests/intel/kms_pm_dc: Add a new test to validate the deep sleep state during...Jeevan B1-0/+71
2024-05-28tests/kms_sysfs_edid_timing: Skip the first detectKhaled Almahallawy1-3/+16
2024-05-28tests/intel/xe_module_load: Unload module if loaded with modparamsBhanuprakash Modem1-0/+2
2024-05-23tests: Fix Sub-category in documentationKatarzyna Piecielska8-13/+16
2024-05-23tests/intel: Make Mega feature field mandatory in test documentationKatarzyna Piecielska2-0/+2
2024-05-23tests: Unify Category for Core tests documentationKatarzyna Piecielska219-314/+312
2024-05-23lib: switch i915_pciids_local.h to xe driver style PCI ID macrosJani Nikula3-32/+26
2024-05-23lib: sync i915_pciids.h with kernel commit cfa7772880f8Jani Nikula3-762/+755
2024-05-23lib: sync i915_pciids.h with kernel commit d2c4b1db1c4fJani Nikula2-1/+1
2024-05-23lib: sync i915_pciids.h with kernel commit 7858cc0b55e3Jani Nikula2-7/+7
2024-05-23lib: sync i915_pciids.h with kernel commit bfbda4722767Jani Nikula2-2/+2
2024-05-23lib: sync i915_pciids.h with kernel commit aa3d586e1624Jani Nikula1-13/+17
2024-05-23lib: sync i915_pciids.h with kernel commit 5c8c22adc802Jani Nikula1-0/+4
2024-05-23lib: sync i915_pciids.h with kernel commit 7b43a37348b7Jani Nikula1-0/+4
2024-05-23lib: sync i915_pciids.h with kernel commit 41c0f8a36f15Jani Nikula2-4/+8
2024-05-23lib: sync i915_pciids.h with kernel commit 432ed92bfb55Jani Nikula2-4/+8
2024-05-23tests/kms_plane_scaling: reduce unnecessary workJuha-Pekka Heikkila1-14/+9
2024-05-22lib/igt_pm: Convert suspended_time from int to uint64_tRodrigo Vivi3-10/+12
2024-05-22tests/intel/xe_pm: Convert mmap tests to use existing d3 helpersRodrigo Vivi1-39/+43
2024-05-22tests/intel/xe_pm: Only check the rpm resume after the first mmap operationRodrigo Vivi1-11/+25
2024-05-22tests/intel/xe_pm: Also disable display for mmap_system testRodrigo Vivi1-0/+2
2024-05-22lib/igt_pm: Fix and standardize IGT PM library documentationRodrigo Vivi1-75/+73
2024-05-22tests/intel/xe_pm: Update runtime pm conditionsRodrigo Vivi3-48/+55
2024-05-22lib/xe/xe_query: Add L3 bank mask testFrancois Dugast1-0/+74
2024-05-22drm-uapi/xe: Expose the L3 bank maskFrancois Dugast1-0/+2
2024-05-21gputop: Add support to drm-cycles/drm-total-cyclesLucas De Marchi1-4/+37